TW201133624A - Stress management for tensile films - Google Patents

Stress management for tensile films Download PDF

Info

Publication number
TW201133624A
TW201133624A TW099135111A TW99135111A TW201133624A TW 201133624 A TW201133624 A TW 201133624A TW 099135111 A TW099135111 A TW 099135111A TW 99135111 A TW99135111 A TW 99135111A TW 201133624 A TW201133624 A TW 201133624A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
precursor
ruthenium
plasma
Prior art date
Application number
TW099135111A
Other languages
English (en)
Inventor
Jing-Mei Liang
Anjana M Patel
Nitin K Ingle
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201133624A publication Critical patent/TW201133624A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201133624 六、發明說明: 【交互參照之相關申請案】 本發明係關於在西元2009年8月6曰提出申請且發明 名稱為「FORMATION OF SILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCESSES」之臨時專 利申請案號61/23 1,729,其在此以引置方式併入本文作 為參考》 【發明所屬之技術領域】 本發明係關於伸張膜之應力管理。 【先前技術】 Α #幾何形 自從數十年前引進了半導體元件,半導髏A# 4^5·備常規地 態之尺寸已經顯著地減小。現代半導體製透 製造具有250 nm、1 80 nm與65 nm特徵尺<
,而在間隙 元件,炎 且正在發展且1 之元件。減小έ 小的空間尺寸 中間隙深度對f 有挑戰性以介1 電材料堵塞間 係傾向於在間隙完全被填滿之前於頂部堵蹇 中間產生了空隙或縫隙。
S 數年來,已經發展許多技術來避免使介電 4 201133624 隙的頂部’或「密封」已經形成的空隙或縫隙。一種方 式係已經以高可流動的前驅物材料來開始,高可流動前 驅物材料是在液相中應用到旋轉的基材表面(例如S〇G 沉積技術)。這些可流動的前驅物可流動到非常小的基材 間隙内且將其填滿’而不會形成空隙或弱的縫隙。然而, 一旦這些高可流動的材料被沉積了,其需要被固化且被 硬化成固體介電質材料。 在許多例子中,硬化製程係包括一熱處理以從所沉積 材料移除碳與輕基團而留下諸如氧化石夕之固體介電質。 不幸地,移除之碳與羥物種時常在硬化之介電質中留下 孔隙,其會降低最終材料的品質。此外,硬化之介電質
的體積可減少40%或更多。 因此,存在有新沉積製程與材料的需求,其可在結構 化基材上形成介電質材料而不會在基材間隙與溝槽中產 生空隙、缝隙或兩者。亦存在有材料與方法的盆
縮以及容納仍發生的收縮。 求。 【發明内容】 本發明描述了一 具有低破裂傾向之間隙填充氧化矽層 5 201133624 的形成。沉積係涉及—可& 了⑽動含矽層之形成,其中該可 流動含矽層係促進溝桿的植 、 再價的填充。在高基材溫度下的後續 處理係造成比根據習知JL ig . 爆$知技藝方法所形成可流動膜更少之 介電質膜中破裂。+ 相迎在形成間隙填充氧化矽層前所沉 積之一可壓縮襯裡居, 層且可堡縮襯裡層降低了後續沉積 之膜會破裂的傾向。在可續叙人Μ ^ 任了机動含矽層後之一可壓縮覆蓋 層亦已經被確定可減少破裂。可單獨地或組合地利用可 壓縮襯裡層與可壓縮覆蓋層來減少且時常消除破裂。此 外,在所揭不實施例中,已經確定可壓縮覆蓋層可使得 氮化矽之下置層被轉變成氧化矽層。 在一貫施例中’一種形成一氧化;g夕層於一基材上之方 法,該基材含有一溝槽,該方法包含以下步驟:傳送該 基材到一基材處理腔室内;形成一可壓縮襯裡層於該基 材上且在該溝槽中;形成一介電質層於該基材上且在該 溝槽中,其中該介電質層係起初可流動的;及固化該介 電質層。 在又另一實施例中,一種形成一氧化發層於一基材上 之方法,該基材含有一溝槽,該方法包含以下步驟:傳 送該基材到一基材處理腔室中之一基材處理區域内;使 一電漿前驅物流動到一遠端電漿區域内,以形成電漿流 出物;在該基材處理區域中結合該些電漿流出物和一含 矽前驅物之流動,其中該含矽前驅物之流動尚未由一電 漿所激發;形成一含矽與氧層於該基材上且在該溝槽 中;形成一可壓縮覆蓋層於該含石夕與氧層上方;及固化 Μ 6 201133624 該含梦與氧層。 在又另一實施例中’ 一種形成一氧化石夕層於一基材上 之方法’該基材含有一溝槽’該方法包含以下步驟:傳 送該基材到一基材處理腔室中之一基材處理區域内;使 一電漿前驅物流動到一遠端電襞區域内,以形成電漿流 出物;在該基材處理區域中結合該些電漿流出物和一含 石夕前驅物之流動’其中該含矽前驅物之流動尚未由一電 聚所激發;形成一含矽與氮層於該基材上且在該溝槽 中;形成一可壓縮覆蓋層於該含矽與氮層上方;及在一 含氧氛圍中加熱該基材,以將該不含碳之含矽與氮層轉 變成該氧化矽層。 額外之實施例與特徵係部分地揭示在以下說明中,且 其。卩分地對於熟習此技藝之人士在參閱說明書時變得明 顯或可藉由實施所揭示之實施例而瞭解。所揭示之實施 例之特徵與優點可藉由描述在說明書中之裝置、組合和 方法來瞭解和獲得。 【實施方式】 本發月私述了一具有低破裂傾向之間隙填充氧化石夕層 :形成。沉積係涉及-可流動含矽層之形成,其中該可 流動含矽層係促進溝槽的填充。在高基材溫度下的後續 處理係造成比根據習知技藝方法所形成可流動膜更少之 質膜中破裂。描述在形成間隙填充氧化梦層前所沉 s 201133624 積之一可壓縮襯裡層,且可壓縮襯裡層降低了後續沉積 之臈會破裂的傾向。在可流動含矽層後之一可壓縮覆蓋 層亦已經被確定可減少破裂。可單獨地或組合地利用可 壓縮襯裡層與可壓縮覆蓋層來減少破裂。此外,在所揭 示實施例中,已經確定可壓縮覆蓋層可使得氮化矽之下 置層被轉變成氧化矽層。 不欲限制申請專利範圍的範疇到假設的製程機構,包 括—可壓縮襯裡層與(或)一可壓縮覆蓋層係被認為在後 、、只處理的期間或之後能夠穩定化可流動間隙填充氧化矽 層。可流動膜可能需要固化作為一有所區別的固化步驟 或作為一在後續處理期間加熱膜堆疊(包括間隙填充膜) 之自然副產物。典型地,間隙填充臈是經由去氣(〇ut㈣ 來減少質量且發展伸張應力。這樣的一層在此可稱為一 伸張層。可壓縮襯裡層係被認為在以可流動間隙填充氧 化矽層來填充間隙前可穩定化溝槽。可壓縮襯裡層與(或) 可壓縮覆蓋層的存在亦可實體地黏附到且敎化間隙填 充層。基材之實體彎曲度,整體而言,亦可藉由可壓縮 層的存在而減輕’其中該可壓縮層係減少了在固化間隙 填充膜期間造成的彎曲且減少了在後續處理期間其伸張 應力。現將描述關於形成氧化矽層之方法與系統的額外 細節。 示範性氧化矽形成製程 第1圖為-流程圖,其顯示根據本發明實施例之製造 氧化石夕膜之方法1〇〇中經選擇之步驟。方法削包括藉 201133624 由在基材上之高密度電漿CVD(HDp_cVD)來沉積一氧化 石夕襯裡層102。HDP-CVD {形成氧化石夕層之一示範性方 法,其中該氧化矽層係展現伸張應力(特別是在複合沉積 製程後一旦冷卻基材時)。可使用其他方法(例如 PECVD、LP-CVD、或烘爐氧化物)來形成氧化矽可壓縮 襯?里層,其對於在固化/加熱所完成膜堆疊之期間與之後 抑制膜堆疊中之裂缝形成也是同樣有效的。可壓縮襯裡 層亦可以是藉由各種技術(包括HDP-CVD、PECVD、 LP-CVD)與藉由使用高溫烘爐來生長之氮化矽。 方法100更包括提供一不含碳之矽前驅物到反應腔室 104。不含碳之矽前驅物可以是,除了其他類型之矽前驅 物以外,例如一矽與氮之前驅物、一矽與氫之前驅物、 或含碎氣與風之前驅物。這些前驅物之特定實例可包 括矽烷胺,諸如 H2N(SiH3)、HN(SiH3)2 與 N(SiH3)3 等。 這些矽烷胺可和額外的氣體混合’該些額外的氣體係作 為载氣、反應性氣體、或兩者。這些額外的氣體的實例 可包括%、A、NH3、He與Ar等。不含碳之矽前驅物 的貫例亦可包括矽燒(SiH4) ’獨立地或和其他含石夕氣體 (例如N(SiH3)3)、含氫氣體(例如Ha)、與(或)含氮氣體(例 如N2、NH3)混合。 亦可提供一自由基氮前驅物到反應腔室1〇6。自由基 氮前驅物包含藉由激發電漿中之含氮前驅物所產生的電 聚流出物’並且示範性含氮前驅物可包括N2〇、NO、 N〇2、NH4〇H、NH3、與N2。自由基氮前驅物可以是在 9 201133624 反應腔室外從更穩定之氮前驅物所產生之含氮自由基物 種。舉例而言,一穩定之氮前驅物化合物(諸如上述所列 示者)可在反應腔室外之一電漿單元中被活化以形成自 由基氮前驅物,其接著被傳送到反應腔室内。所產生的 自由基氮前驅物可包括·Ν、·ΝΗ、.NH2等之一或多者, 並且亦可由形成在電聚中的離子化物種來伴隨。 在反應腔室中’未激發之不含碳之矽前驅物與自由基 氮前驅物係混合且反應,以在沉積基材(其具有溝槽形成 在其表面上)上沉積一含矽與氮之膜1〇8。藉由習知技藝 間隙填充技術(諸如HDP-CVD)使用較不可流動膜來填充 溝槽而不形成空隙或縫隙可能是困難的。溝槽可具有高 度與寬度而定義了高度對寬度(即H/w)之深寬比(AR), 其係顯著地大於1:1(例如5:1或更大、6:1或更大、7:ι 或更大、8:1或更大、9:1或更大、1〇:1或更大、11:1或 更大12.1或更大等)。在許多例子中,高AR是由於約 0 nm至約22 nm或更小(例如約咖、65 nm、45謂' 32⑽、22 nm、16 nm等)的小間隙寬度。 不像傳統的I化石夕(813乂)膜,經沉積之含妙與氮膜具 有可机動特徵’可流動特徵容許其可流動到基材之沉積 面上之窄間隙溝槽與其他結構内。由於層是可流動 ::其可填充具有高深寬比之間隙,而不會在填充材料 的周圍產生空隙或縫隙。舉例而言,一沉積可流動 料較不可能在㈣完全地被填滿前預成熟地堵塞間隙 頂部。這有助於減少或消除維持在間隙中間的空隙。 10 201133624 可流動性可以是至少部分地由於經沉積之膜中的顯著 氫成分。舉例而言,經沉積之膜可具有矽氮烷類型之 Si-NH-Si骨幹(即Si-N-H膜)。可流動性亦可導因自矽氮 烷類型之短鍊聚合物。當矽前驅物與自由基氮前驅物不 含碳時’經沉積之含矽與氮膜亦為實質上不含碳的。當 然,「不含碳」不必然意謂著膜缺乏甚至少量的碳。碳污 染物可存在於前驅物材料中,其找到其進入經沉積之含 矽與氮膜的途徑。然而,這些碳雜質的量是比在具有碳 份額之矽前驅物(例如TEOS、TMDSO等)中所發現的少 多了。 在沉積了含矽與氮層後’沉積基材可被引進到一含氧 氛圍110«當引進含氧氛圍時,沉積基材可保持在反應 腔室中;或者,基材可被傳送到引進有含氧氛圍之不同 腔至。含氧氛圍可包括一或多種含氧氣體,諸如分子氧 (〇2)、臭氧(〇3)、水蒸氣(h2〇)、與氧化氮(NO、N〇2等), 除了其他含氧氣體以外。含氧氛圍亦可包括自由基氧與 經基物種’諸如原子氧(〇)、氫氧化物(〇H)等,其可遠端 地被產生且被傳送到基材腔室内。含氧物種之離子亦可 存在。 含氧氛圍係提供氧以將含矽與氮膜轉變成氧化矽 (Si〇2)膜11〇。如前所述,在含矽與氮膜中缺乏碳係顯著 地造成最終氧化矽臈中所形成之更少孔隙。從沉積到退 火之淨收縮是藉由沉積一可流動含石夕與氮臈且將其轉變 成氧化梦(相較於起初地沉積一可流動含梦與氧膜)來減 201133624 少。在轉變製程期間,基材溫度可以為約25<t至約11〇〇 °C (例如約 200。(:、約 30(TC、約 40(TC、約 5〇〇。〇、約 6〇〇 °C、約 70(TC、約 80(TC、約 90(TC、約 1〇〇(rc 等)。在 許多情況中’體積減少是稍微足以(例如約15 v〇1 %或更 小)避免後熱處理步驟來填充、治癒或消除因收縮的氧化 矽而形成在間隙中的空間❶在一實施例中,轉變可發生 成兩部分。此兩部分轉變可包括一低溫臭氧固化以起始 氧化’接著在含氧環境中進行一高溫退火。 第1圖之製程描述了一製程,其中氧化矽是藉由先沉 積一含矽氮層且接著將此層轉變成氧化矽來形成。在其 他實施例中,經沉積之膜是藉由自由基氧前驅物以及尚 未由電漿激發之含碳前驅物來產生。然後,經沉積之膜 將為一含矽與氧膜,其在後續處理期間(相較於涉及含矽 與氮膜之製程)可能經歷更多收縮。沒通過電漿之示範性 含碳前驅物可包括TM0S、TriM〇S、TEOS、OMCTS、 HMDS、TMCTR、TMCTS、OMTS、TMS、HMDSO、與(或)、 TMDSO。自由基氧前驅物包含藉由激發電漿中之含氧前 驅物所產生的電衆流出並且示範性含氧前驅物可包 括 〇2、03、N2〇、N0、N〇2、h2〇2、h2〇、與 nh4〇H。 乂此方式>儿積之膜中的破裂亦可藉由使用在此描述之可 壓縮襯裡層與覆蓋層來減少。 實施例可包括多個具有不同溫度與氛圍之加熱階段。 舉例而δ,可在較低第一溫度且在包括蒸氣(HA)之氛圍 中執仃第一加熱階段,可在較高第二溫度且在實質上
12 S 201133624 缺乏水蒸氣之乾的含氧氛圍中執行一第二加熱階段。亦 可在一含非氧氛圍(例如乾N2、He、Ar等)中執行一第三 加熱階段。 現參照第2圖’顯示了另-流程圖,其係繪示根據本 發明實施例之在溝槽中形成氧化矽膜之方法2〇〇中經選 擇之步驟。方法200可包括傳送-具有表面溝槽之基材 到一基材處理區域内。溝槽可用以指定形成在基材上之 元件部件(例如電晶體)之間隔與結構。方法2〇〇包括提 供一不含碳之矽前驅物到反應腔室202。不含碳之妙前 驅物係關連於第1圖被討論。一自由基氮前驅物被提供 到反應腔室204,如參照第i圖所描述者。再次地,替 代性實施例係涉及引進一未激發之含碳前驅物與一自由 基氧前驅物,以形成一可流動含矽與氧膜,其中該可流 動含矽與氧膜在後續處理期間會呈現更多的收縮。 不含碳之矽前驅物與自由基氮前驅物係混合且反應以 在沉積基材上沉積一可流動含矽與氮膜(操作2〇6)。膜之 可流動本質係促進表面溝槽之填充,其中使用以習知技 藝間隙填充技術(諸如HDP-CVD)來製造之較不可流動膜 疋難以完全地填滿該些溝槽》在沉積後,臭氧流動到反 應區域内且基材被加熱到相當低的溫度,以起始氧化且 將含矽與氮膜轉變成二氧化矽(操作2〇7)。 接著’ 一可壓縮覆蓋層被沉積在經固化之膜上方,其 中該經固化之膜含有矽、氧與可能的氮208。一種沉積 在可壓縮應變下覆蓋層的方式是以HDP-CVD來沉積, 13 201133624 類似沉積第1圖之襯裡層的方法。可使得覆蓋層比襯裡 層更厚’這是因為溝槽在製程中係在此點大量地被填 充。可壓縮覆蓋層被整合到製程流程中,並且由於可壓 縮覆盍層所提供的額外材料,可致使更薄可流動膜的使 用。在一些實施例中,溝槽沒有被間隙填充層完全地填 滿’在此情況中’可壓縮覆蓋層係填充一些溝槽體積。 再次地’可使用其他方法(例如PECVD、LP-CVD、烘爐 氧化物)來製造可壓縮覆蓋層’其對於在退火膜堆疊的期 間與之後抑制所完成膜中裂縫形成是同樣有效的。可壓 縮覆蓋層亦可以是藉由各種技術(包括HDP-CVD、 PECVD、LP-CVD)與藉由使用高溫烘爐來生長之氮化矽。 在沉積了可壓縮覆蓋層後’沉積基材被引進到一含氧 氛圍中210。再次地,沉積基材可維持在引進有含氧氛 圍之反應腔室中;或者,基材可被傳送到引進有含氧氛 圍之不同腔室。含氧氛圍係如參照第i圖來描述。含氧 氖圍長:供氧以完成將含石夕與氮膜轉變成氧化石夕之轉變, 其中該轉變是在固化期間起始。吾等已經發現儘管存在 有可壓縮覆蓋層,轉變會進行。在含氧氛圍中加熱經固 化之含矽與氮層再次地在基材上與在基材間隙中形成氧 化矽層。相較於在熱處理步驟前以含碳前驅物形成之類 似層(其在層中具有顯著量的碳),氧化矽層具有更少的 孔隙與更少的體積減少。在所揭示實施例中,可結合第 1圖之可壓縮襯裡層與第2圖之可壓縮覆蓋層,以進一 步保護膜堆疊免於發展裂縫。 201133624 第3圖為另一流程圖,其係繪示根據本發明實施例之 製造氧化矽膜之額外示範性方法300中經選擇之步驟。 方法300包括藉由高密度電漿CVD(HDP-CVD)在基材上 沉積一氧化矽襯裡層 302。可使用其他方法(例如 PECVD、LP-CVD、與烘爐氧化物)來形成可壓縮襯裡層, 只要此替代性方法形成一可壓縮襯裡層可有助於在處理 順序中之固化與加熱步驟的期間與之後減少裂縫。方法 300更包括引進一含矽前驅物與一自由基氧前驅物到基 材處理區域内304。 自由基氧前驅物可在電漿CVD沉積腔室外從例如穩 定之含氧氣體(例如分子氧(〇2)、臭氧(〇3)、水蒸氣、過 氧化氫(H202)、與氧化氮(例如N20、N02等))來產生。 如同第1 -2圖之方法,亦可使用穩定氣體之混合物來形 成自由基物種。自由基氧亦可被產生在反應腔室之一分 離區塊中,其中反應腔室之該分離區塊係和基材處理區 域分隔。在此分離區塊中,穩定之含氧氣體由遠離基材 處理區域之電漿來激發。分隔物可具有穿孔且在此可稱 為噴頭。 在所揭示實施例中,含矽前驅物係直接地被引進到基 材處理區域内以避免電漿激發。含矽前驅物可包括有機 矽烷化合物,包括 TMOS、TriMOS、TEOS、OMCTS、 HMDS、TMCTR、TMCTS、OMTS、TMS、與 TMDSO。 含矽前驅物亦可包括不具有碳之矽化合物,諸如矽烷、 二矽烷等。若經沉積之氧化物膜為一摻雜氧化物膜,亦
S 15 201133624 可使用摻質前驅物(TEB、ΤΜΒ、;Β2ΐί6、ΤΈΡΟ、PU3、Ρ2ΐί6 與ΤΜΡ,除了其他硼與磷摻質以外)。摻質亦可用在第 1-2圖所討論的方法中。 在反應腔室中,矽前驅物與自由基氮前驅物係混合且 反應,以在沉積基材(其在其表面中形成有溝槽)上沉積 一含矽與氧之膜306。溝槽可具有高度與寬度而定義了 高度對寬度(即H/W)之深寬比(AR),其係顯著地大於 1:1(例如5:1或更大、6:1或更大' 7:1或更大、8:1或更 大、9:1或更大、ΐ(Μ或更大、1ηι或更大、12:1或更 大等)。在許多例子中’高AR是由於約9〇 nm至約22 nm 或更小(例如約 90 nm、65 urn、45 nm、32 nm、22 nm、 16 nm等)的小間隙寬度。 以這些方法所製造之膜係起初可流動的,這使得其能 流動到基材之沉積表面上之窄間隙或溝槽與其他結構 内。膜流動到具有高深寬比之間隙内,而不會在填充材 料中心的周圍產生空隙或弱縫隙。舉例而言,一沉積可 流動材料較不可能在間隙完全地被填滿前預成熟地堵塞 間隙之頂部而在間隙中間留下空隙。 在沉積了含矽與氧膜後,一可壓縮覆蓋層被沉積在含 矽與氧膜上方308。一種沉積在可壓縮應變下覆蓋層的 方式是以HDP_CVD來沉積,類似沉積第i圖之襯裡層 與第2圖之覆蓋層的方法。可使得覆蓋層比第i圖與操 作302之襯裡層更厚’這是因為溝槽在製程中係在此點 大量地被填充。可壓縮覆蓋層被整合到製程流程中,並 16 £ 201133624 且由於可壓縮覆蓋層所提供的額外材料,可致使更薄可 流動膜的使用。在替代性實施例中,使用襯裡層而 用覆蓋層’並且使用覆蓋層而不使用襯裡層。 在操作3 10 ’膜堆疊被固化以移除一些殘留在膜中的 流動試劑。儘管存在有可壓縮覆蓋層,任何從固化膜之 去氣(outgas)會發生。固化步驟可以不是必要的,取決於 期望之最終膜堆疊的性質。在其他實施例中,膜是在進 一步處理(其無可避免地涉及了一些基材加熱)的過程中 被固化。 現討論在此呈現之可壓縮層的一般性質,根據所揭示 實施例之可壓縮襯裡層係比溝槽寬度之一半更薄,以為 了容許後續沉積之可流動膜能夠流動到剩餘的間隙内。 在不同實施例中’襯裡層之厚度可小於或約4〇〇a、小於 或約300A、小於或約200人、或小於或約ι5〇Αβ可壓縮 襯裡層必須厚到足以對溝槽提供必要的穩定性,並且在 不同實施例中,其厚度可大於或約25A、大於或約50A、 大於或約100A、大於或約150人。任何上限可和任何下 限結合以形成額外之實施例。 在此呈現之可壓縮覆蓋層具有比可壓縮襯裡層更大的 自由度’這是因為其不會受到基材溝槽之寬度所限制。 在不同實施例中,可壓縮覆蓋層之厚度可大於或約 25A、大於或約5〇A、大於或約1〇〇A、大於或約2〇〇A、. 大於或約300A、或大於或約400A。典型地,可壓縮覆 蓋層之厚度之上限是由涉及可流動層之最終厚度的特定 λ 17 201133624 製程流程來決定。 iiDP-CVD是可用以形成在此所描述之可壓縮襯裡與 可壓縮覆蓋層之一種方法。在HDP-CVD沉積期間,基 材可位在和用來沉積可流動層之腔室不同的腔室中。一 不範性沉積腔室是可從美國加州聖大克勞拉市之應用材 料公司獲得的Ultima HDP腔室。當處理300 mm晶圓之 基材時’基材在沉積可壓縮膜期間可被維持在低於約5〇〇 C或約300 C至約400°C,並且所施加之總源電漿rf功 率可以是5000 W至1〇,〇〇〇 W(排除偏功率卜基材偏功率 可以是2000 W至7000 W。更高之偏功率係和襯裡與覆 蓋層中更高之可壓縮應力有關。可使用除了 Ultima HDP 以外的腔室,其具有操作條件的轉換,該轉換係可從處 理工具供應業者獲得或可僅為熟習此技藝之人士所熟 知。非偏RF功率產生器所放射之頻率可以為約2 MHz, 並且偏RF功率產生器所放射之頻率可以為約ι3 % MHz。在HDP-CVD期間,各種含氧與含矽源可流動到處 理區域内,並且典型的前驅物包括〇2與SiH4。在使用此 兩前驅物的情況中,〇2: SiH4之流速比例可以為約〇 25.1 至約1:1。 在沉積含矽膜(其在上述實例中包括氮與(或)碳)的期 間,可流動膜生長會進行’同時基材溫度被維持在相當 低的溫度。在沉積期間’可流動氧化物膜可在低溫下被 >儿積在基材表面上,其中該低溫是藉由冷卻基材來維 持。基座可包括位在基座轴内之加熱與(或)冷卻導管, 18 £ 201133624 其在不同實施例中可將基座與基材之溫度設定在約4(rc 至約200 C、約l〇〇c至約16(TC、小於約1〇〇。〇、或小 於約40°C。 在可流動膜之生長期間,腔室電漿區域或基材處理區 域中之壓力可小於或約100 T〇rr、小於或約5〇 τ〇π、小 於或約20 T〇rr、小於或約1〇 T〇rr、小於或約5 T〇rr。在 不同實施例中,在任一區域或兩區域中之壓力可大於或 約0.25 Ton*、大於或約〇· 5 T〇rr、大於或約J T〇rr、大 於或約2T〇rr、大於或約5T〇rr。根據所揭示實施例,各 下限可和壓力之各上限結合以形成額外之適當壓力範 圍。 在可流動膜之生長期間(以為了製造自由基氧與(或)自 由基氮前驅物)’腔室電漿區域中之電漿條件在不同實施 例中可包括約3000 W至約15,〇〇〇 w、約400 W至約 1〇,〇〇0 W、或約5000 W至約8000 W之rf功率。 示範性基材處理系統 沉積系統之實施例可被併入到更大之製造系統以製造 積體電路晶片。第4圖顯示根據所揭示實施例之沉積、 烘烤與固化腔室的一這樣系統4〇〇。在此圖中,一對前 開式整合艙(FOUPs)402供應基材(例如300 mm直徑晶 圓)’該些基材由機械手臂404接收且在被放置到基材處 理腔室408a-f之一者前被放置到一低壓固持區域4〇6 内。一第二機械手臂410可用來從固持區域406傳送基 材晶圓到處理腔室408a-f且返回。
19 S 201133624 處腔至4〇8a-f可包括用以沉積、退火、固化與(或) 蚀刻基材晶圓上之可流動介電質膜的—或多個系統部 件。在一組•態中,㈣處理腔室(例如408C-d與408e-f) 可用、積可流動介電質材料在基材上,並且第三對處 理腔室(例如4G8a-b)可用以退火經沉積之介電f。在另 一組態甲,此相同之兩對處理腔室(例如4〇8c d與4〇8e f) 可又、冗積且退火基材上之可流動介電質膜,而第三對 處理腔室(例*彻a姻用於經沉積之膜的w或電子 束固化在又另一組態中,所有三對處理腔室(例如⑽h_f) 可設以沉積、固化可流動介電質膜在基材上。在再另 ”且I中兩對處理腔室(例如408c-d與408e-f)可均用於 可流動;丨電質之沉積以及uv或電子束固化,而第三對 處理腔室(例如408a_b)可用以退火介電質膜。可瞭解, 用於可机動介電質膜之沉積、退火與固化腔室的額外組 態可由系统400設想出。 此外,處理腔室4〇8a_f之一或多者可設置成一濕處理 至這'^處理腔至包括在包括濕氣之氛圍中加熱可流 I電質膜。因此,系統4〇〇之實施例可包括濕處理腔 室408a-b與退火處理腔室4〇8c d,以對經沉積之介電質 媒執行濕與乾退火。 第5A圖為根據所揭示實施例之一基材處理腔室5〇〇。 遠端電槳系統(remote plasma system; RPS)5 10可處理 氣體’該氣體接著行經一氣體入口組件511〇氣體入 口組件511内可看見兩個分離的氣體供應通道。第一通
20 S 201133624 道512係承載通過RPS 510之氣體,而第二通道513係 繞過RP S 5 1 〇。在所揭示之實施例中,第一通道5 12可 用於一製程氣體,並且第二通道513可用於一處理氣 體。蓋(或導電頂部)521與穿孔分隔物553係顯示在其之 間具有一絕緣環524,絕緣環524容許AC電位相對於穿 孔分隔物553被施加到蓋521。製程氣體行經第一通道 512到腔室電漿區域520内,並且可獨立地由腔室電漿 區域520中之電漿或和RPS 510之組合來激發。腔室電 漿區域520與(或)RPS 510之組合在此可稱為一遠端電漿 系統。穿孔分隔物(亦稱為喷頭)553係將腔室電漿區域 520與喷頭553下方之基材處理區域570分離。喷頭553 容許電漿存在於腔室電漿區域520中以避免直接地激發 基材處理區域570中的氣體,同時仍容許經激發之物種 可從腔室電漿區域520行進到基材處理區域570内。 喷頭553位在腔室電漿區域520與基材處理區域57〇 之間,並且容許腔室電漿區域520中建立的電漿流出物 (前驅物或其他氣體之激發衍生物)通過複數個橫跨板厚 度之穿孔556。喷頭553亦具有一或多個中空容室551, 該些中空容室551可被填充以蒸氣或氣體形式的前驅物 (諸如含石夕刖驅物)且其通過小孔555到基材處理區域570 内但不直接到腔室電漿區域520内。在此揭示之實施例 中,喷頭553比穿孔556之最小直徑之長度更厚。為了 維持激發物種從腔室電漿區域520穿過到基材處理區域 570之顯著集中’穿孔之最小直徑55〇之長度526可藉
21 S 201133624 5 53之較大直徑部分來限制。 孔556之最小直徑550之長度 由形成穿孔556通過噴頭 在所揭示之實施例中,穿 可和穿孔556之最小直徑具有相同大小等級。 在所顯示之實施例中,一旦藉由腔室電漿區域52〇中 之電漿所激發,喷頭553可散佈(經由穿孔556)含有氧、 氫與(或)氮之製程氣體與(或)這樣製程氣體之電漿流出 物。在實施例中’經由第一通道512引進到Rps5i〇與(或) 腔室電漿區域520之製程氣體可含有氧(〇2)、臭氧⑴ο、 仏〇、齡、>1〇2、丽3、队1^(包括_4)、石夕燒、二矽烷、 TSA、與DSA之一或多者。製程氣體亦可包括一载氣, 諸如氦、氬、氮(NO等。第二通道513亦可輸送一製程 氣體與(或)一載氣、與(或)一用以從生長或所沉積之臈移 除不希望之成分的膜固化氣體。電漿流出物可包括製程 氣體之離子化或中性衍生物,並且在此亦可稱為一自由 基氧前驅物與(或)一自由基氮前驅物(其參照所引進之製 程氣體的原子構成物 在實施例中,穿孔556之數量可為約6〇個至約2_ 個。穿孔556可具有各種形狀,但為最容易製造的圓形。 在所揭示之實施例中,穿孔556之最小直徑55〇可為約 〇.1 mm至約20_或約! mm至約6mm。亦存在有選 擇穿孔之截面形狀的自由,其可以是圓錐形、圓柱形、 或此兩形狀之組合。在不同實施例中,用以將氣體引進 到基材處理區域別内之小孔555之數量可為約⑽個 至約5000個或約5〇〇個至約2000個。小:〜 J札555之直徑 22 1 201133624 可為約0.1 mm至約2 mm。 第5 B圖為根據所揭示實施例和處理腔室併同使用之 喷頭553的仰視圖。喷頭553係和第5A圖顯示的喷頭相 應。穿孔556係被繪示成在喷頭553之底部具有—較大 内k(ID)且在頂部具有__較小内徑(ID)。小孔奶係實質 上均勻地散佈在喷頭表面,甚至在該些穿孔5%之間, 其有助於提供比在此所描述之其他實施例更均勻的混 合。 田通過喷頭553之穿孔5S6而抵達之電漿流出物和通 過J孔555(其源自中空容室55丨)而抵達之含矽前驅物結 合時,一不範性膜被建立在基材處理區域57〇中由基座 (未示出)所支標之基材上。儘管基材處理區域可設 以支援用於其他製程(諸如固化)之電漿,該示範性膜之 生長期間不存在有電漿。 可在喷頭553上方之腔室電漿區域52〇中或噴頭553 下方之基材處理區域57〇中引發一電漿。典型地,在沉 積期間’射頻(RF)範圍中之AC電壓係被施加在處理腔 室之導電頂部521與喷頭553之間,以在腔室電漿區域 520中引發一電漿。當基材處理區域570中之底電漿被 啟動以固化一膜或清潔基材處理區域570之内表面時, 頂電漿被被保持在低或沒有功率。基材處理區域570中 之電漿是藉由施加AC電壓於喷頭553與腔室之基座或 底部來引發。當電聚存在時,可將一清潔氣體引進到基 材處理區域570内。 23 201133624
基材處理系統由-系統控制器來控制。在-示範性實 施例令’系統控制器包括—硬碟機、一軟碟機與一處理 器。處理盗含有單板電腦⑷响-一 computer; SB 類比與數位輸入/輸出板、界面板、與步進馬達控制器 VD系統之各部件係符合Versa ⑹寧⑽ (VME)標準’其定義了板、卡籠(咖deage)、與連接器尺 寸和類型。VME標準也將匯流排結構義成16位元資 料匯流排與24位元位址匯流排。 系:統控制器係控制CVD機台之所有活動、系統控制器 執行系”先控制軟體,其中該系統控制軟體是儲存在一電 腦可哨媒體中的電腦程式。較佳地’媒體是硬碟機,但 媒體亦可以是其他類型的記憶體。電腦程式包括指令 組’其可命令特定製程之時間點、氣體混合、腔室壓力、 腔室溫度、RF功率位準、载座位置、與其他參數。儲存 在其他記憶體裝置(包括例如軟碟或其他適當的裝置)上 之其他電腦程式亦可用以指示系統控制器。 可使用由系統控制器執行之電腦程式產品來實現一種 用以在基材上沉積一膜堆疊之製程或一種用以清潔一腔 至之製程《電腦程式碼能夠以任何傳統之電腦可讀程式 化語言來撰寫:例如,68000組合語言、c、C++、Pascal
Fortran、或其他者。適當的程式碼係使用傳統的文字編 輯器被轉成單一檔案或多個檔案,並且被儲存或内嵌在 一電腦可用媒體(諸如電腦之記憶體系統)中。若轉換之 碼文字是屬於高階語言,碼被編譯,並且最終的編譯器 24 201133624 碼接著連結到預編譯之微軟資料庫常規之目的碼。為了 執行連結之編譯之目的碼,系統使用者係援引目的碼, 使電腦系統將記憶體中之碼載入。然後,cpu讀取且執 行該碼’以實施程式中所指定的任務。 使用者與控制器之間的界面是經由—平面面板碰觸敏 感性螢幕。在使用兩個螢幕之較佳實施例中,一螢幕是 裝設在清潔室壁中以供操作者使用,而另一螢幕是裝設 在壁後面以供維護技師使用。此兩螢幕可同時地顯示相 同的資訊,在任一情況中僅一螢幕會在一時間點接收輸 入。為了選擇特定之顯示幕或功能,操作者係碰觸該碰 觸敏感性螢幕之一指定區域。經碰觸的區域會改變其凸 顯顏色,或一新選單或顯示幕會被顯示,確認了操作者 與碰觸敏感性螢幕之間的溝通。可使用其他裝置(諸如鍵 盤、滑鼠、或其他指向或溝通裝置)來取代或添加到該碰 觸敏感性螢幕,以容許使用者和系統控制器溝通。 在此使用之「基材」可以是一具有或不具有層形成在 其上的支撐基材。支撐基材可以是一絕緣體或一具有各 種摻雜濃度和輪廓之半導體,並且可以是例如用來製造 積體電路之類型的半導體基材。在此使用之處於「激發 狀態」之氣體係描述一氣體,其中至少一些氣體分子是 處於震動地激發、解離與(或)離子化狀態。一氣體可以 疋兩種或更多種氣體的組合。術語溝槽是在說明書中用 來不意指經蝕刻之幾何形態必然具有大的水平深寬比。 從表面上方觀之,溝槽可以是圓形、橢圓形、多邊形、
25 S 201133624 矩形、或各種其他形狀。 已經描述了一些實施例,熟習此技藝之人士可瞭解的 是,在不脫離本發明之精神下,可使用各種潤飾、替代 性結構與均等物。此外,沒有描述許多已知的製程與構 件,以為了避免不必要地模糊化本發明。因此,上述說 明不應被視為會限制本發明之範轉。 當提供數值之範圍時,應瞭解,亦特定地揭示了該範 圍之上限與下限間的各中間數值(達下限單位的十=之 一,除非文中清楚地指明)。介於任何所載明數值或所載 明範圍内之中間數值與任何其他所载明數值或在該所載 明數值内之中間數值之間的各更小範圍係被涵蓋。這些 更小範圍之上限與下限可獨立地被包括在該範圍内或被 排除該範圍外,並且包括有任一限制、沒有包括限制、 或兩者之各範圍亦被涵蓋在本發明中。所載明範圍包括 限制之一I或兩纟_,排除該些所包括之限制#任一者 或兩者的範圍亦被包括。 如在此所使用者且如隨附申請專利範圍中所示,單數 形式「一」、「一個」與「該」係包括複數個參照物,除 非文中清楚地指明。因此,例如,「一製程」係包括 個這樣的製程,並且「該前驅物」係包括熟習此技藝之 人士所熟知之-或多個前驅物及其均等物,及諸如此類 者。 此外,在說明書中和隨时請專利範圍中使用之術語 包含」與「包括」係意圖指明所記載特徵、整數、部 26 a 201133624 件或步驟的存在,但其不會排除一或多個其他特徵、 人、整 數、部件、步驟、動作或群組的存在或添加。 【圖式簡單說明】 可藉由參照說明書和圖式之剩餘部分來進一步瞭解本 發明之本質和優點,其中圖式裡使用類似的元件代表符 號來指稱類似的元件。在一些情況中,一次標係關連到 一元件代表符號且跟隨在一連字號後,以表示多個類似 元件之一者。當參照一元件代表符號而沒有指定一次桿 時’吾等係欲意指所有這樣的多個類似元件。 第1圖為一流程圖,其繪示根據所揭示實施例之製造 一多層氧化矽膜之經選擇的步驟。 第2圖為另一流程圖,其繪示根據所揭示實施例之形 成一多層氧化矽膜之經選擇的步驟。 第3圖為另一流程圖,其繪示根據所揭示實施例之形 成一多層氧化矽膜之經選擇的步驟。 第4圖顯示根據所揭示實施例之基材處理系統。 第5八®顯示根據所揭示實施例之基材處理系統。 第5B圖顯示根據所揭示實施例之基材處理系統之嘴 頭。 【主要元件符號說明】 100 方法
27 S 201133624 102- 110 處理步驟 200 方法 202-210 處理步驟 300 方法 302-3 10 處理步驟 400 沉積系統 402 FOUP 404 機械手臂 406 固持區域 408 處理腔室 410 第二機械手臂 500 基材處理腔室 510 RPS 511 氣體入口組件 512 第一通道 513 第二通道 520 腔室電漿區域 521 蓋 524 絕緣環 526 最小直徑之長 550 最小直徑 55 1 中空容室 553 噴頭 555 小孔 28 201133624 556 穿孔 570 基材處理區域 29

Claims (1)

  1. 201133624 七、申請專利範圍: 1. 一種形成一氧化矽層於一基材上之方法,該基材含有 一溝槽,該方法包含以下步驟: 傳送該基材到一基材處理腔室内; 形成一可壓縮襯裡層於該基材上且在該溝槽中; 形成一介電質層於該基材上且在該溝槽中,其中 該介電質層係起初可流動的;及 固化該介電質層。 2·如申請專利範圍第1項所述之方法,其中該可壓縮襯 裡層是使用烘爐、PECVD、LP-CVD與HDP-CVD之 一者來沉積。 3. 如申§青專利範圍第1項所述之方法,更包含以下步驟: 在固化該介電質層前,形成一可壓縮覆蓋層於該 介電質層上方。 4. 如申請專利範圍第1項所述之方法,其中該溝槽之寬 度為約5 0 n in或更小。 5. —種形成一氧化矽層於一基材上之方法,該基材含有 一溝槽,該方法包含以下步驟: 傳送該基材到一基材處理腔室中之一基材處理 30 S 201133624 區域内; 使一電漿前驅物流動到一遠端電漿區域内,以形 成電漿流出物; 在該基材處理區域中結合該些電漿流出物和一 含矽前驅物之流動,其中該含矽前驅物之流動尚未由 一電漿所激發; 形成一含矽與氧層於該基材上且在該溝槽中; 形成一可壓縮覆蓋層於該含矽與氧層上方;及 固化該含矽與氧層。 6. 如申請專利範圍第5項所述之方法,更包含以下步驟: 在形成該含矽與氧層於該基材上前,形成一可壓 縮襯裡層。 7. 如申請專利範圍第5項所述之方法,其中該含矽前驅 物包含一含矽與碳前驅物,並且該些電漿流出物包含 一自由基氧前驅物。 8. 如申請專利範圍第7項所述之方法,其中該含矽與碳 前驅物包含 TMOS、TriMOS、TEOS、OMCTS、HMDS、 TMCTR、TMCTS、OMTS、TMS、HMDSO 與 TMDSO 之至少一者,並且該電漿前驅物包含〇2、〇3、N20、 NO、N02、H2〇2、H2◦與 NH4OH 之至少一者。 31 201133624 9.如申請專利範圍第5項所述之方法,其中該溝槽之寬 度為約50 nm或更小。 10. 如申請專利範圍第5項所述之方法,其中該含矽前驅 物包含一含矽與氮前驅物,該些電漿流出物包含—自 由基氮前驅物’並且該方法更包含以下步驟: 在一含臭氧氛圍中加熱該基材,以至少部分地將 一經沉積之膜轉變成該含矽與氧層。 11. 如申請專利範圍第5項所述之方法,其中該含矽與氮 前驅物包含H2N(SiH3)、HN(SiH3)2與N(SiH3)3之至少 者’並且該電漿前驅物包含nh3、nh4oh、n2o、 NO、N〇2、N2與H2之至少一者。 12. 如申請專利範圍第5項所述之方法,其中該遠端電聚 區域是位在該基材處理腔室内且藉由一喷頭和該基 材處理腔室分離。 之方法,其中該可壓縮 LP-CVD 與 HDP-CVD 覆 之 13.如申請專利範圍第5項所述 蓋層是使用烘爐、PECVD、 一者來沉積。 方法,該基材含有 14. 一種形成一氧化矽層於一基材上之 一溝槽,該方法包含以下步驟: 32 S 201133624 傳送該基材到一基材處理腔室中之一基材處理 區域内; 使一電漿前驅物流動到一遠端電漿區域内,以形 成電漿流出物; 在該基材處理區域中結合該些電漿流出物和一 含石夕前驅物之流動’其中該含矽前驅物之流動尚未由 一電漿所激發; 形成一含矽與氮層於該基材上且在該溝槽中; 形成一可壓縮覆蓋層於該含矽與氮層上方;及 在一含氧氛圍中加熱該基材,以將該不含碳之含 矽與氮層轉變成該氧化矽層。 15. 如申請專利範圍第14項所述之方法,更包含以下步 驟: 在形成該含珍與氮1層於該基材上前,形成一可壓 縮襯裡層。 16. 如申請專利範圍第14項所述之方法,更包含以下步 驟: 在形成該可壓縮覆蓋層前,在一含臭氧氛圍中固 化該含梦與氮層。 17. 如申請專利範圍第14項所述之方法,其中該含矽前 驅物包含一含矽與氮前驅物’並且該些電漿流出物包 33 201133624 含一自由基氣前驅物。 18. 如申請專利範圍第17項所述之方法, 氮前驅物包含H2N(SiH3)、HN(SiH3)2與 少一者,並且該電聚前驅物包含N2〇 NH4OH、NH3、n2 與 h2 之至少一者。 19. 如申請專利範圍第14項所述之方法, 圍包含〇2、〇3與H2〇之至少一者。 20. 如申請專利範圍第14項所述之方法, 寬度為約50 nm或更小。 21·如申請專利範圍第14項所述之方法, 漿區域是位在該基材處理腔室内且藉 基材處理腔室分離。 22.如申請專利範圍第14項所述之方法, 覆蓋層是使用烘爐、PECVD、LP-cvo 之一者來沉積》 其中該含矽與 N(SiH3)3 之至 、NO、N02、 其中該含氧氛 其中該溝槽之 其中該遠端電 由一喷頭和該 其中該可壓縮 與 HDP-CVD 34
TW099135111A 2009-10-22 2010-10-14 Stress management for tensile films TW201133624A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/604,332 US7935643B2 (en) 2009-08-06 2009-10-22 Stress management for tensile films

Publications (1)

Publication Number Publication Date
TW201133624A true TW201133624A (en) 2011-10-01

Family

ID=43901011

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099135111A TW201133624A (en) 2009-10-22 2010-10-14 Stress management for tensile films

Country Status (6)

Country Link
US (1) US7935643B2 (zh)
JP (1) JP2013508975A (zh)
KR (1) KR20120091235A (zh)
CN (1) CN102598228A (zh)
TW (1) TW201133624A (zh)
WO (1) WO2011049800A2 (zh)

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013048872A1 (en) * 2011-09-26 2013-04-04 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8937011B2 (en) 2012-12-18 2015-01-20 Sandisk 3D Llc Method of forming crack free gap fill
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11387138B2 (en) * 2018-09-25 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit isolation feature and method of forming the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11430654B2 (en) 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN111599675A (zh) * 2020-05-25 2020-08-28 上海华力集成电路制造有限公司 一种自对准双重图形化的方法

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) * 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
KR100505419B1 (ko) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
CN101416293B (zh) * 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors

Also Published As

Publication number Publication date
US7935643B2 (en) 2011-05-03
WO2011049800A2 (en) 2011-04-28
JP2013508975A (ja) 2013-03-07
US20110034035A1 (en) 2011-02-10
KR20120091235A (ko) 2012-08-17
WO2011049800A3 (en) 2011-07-14
CN102598228A (zh) 2012-07-18

Similar Documents

Publication Publication Date Title
TW201133624A (en) Stress management for tensile films
TWI505361B (zh) 處理含矽與氧層的方法
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
TWI516630B (zh) 自由基組成化學氣相沉積之原位臭氧硬化之方法
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI463566B (zh) 低溫氧化矽轉換
TW201209920A (en) Oxide-rich liner layer for flowable CVD gapfill
TWI533403B (zh) 空氣間隙形成方法
TW201127983A (en) Dielectric film formation using inert gas excitation
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
TW201308432A (zh) 降低釋氣的覆蓋層
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
KR20140010434A (ko) 평탄화-후 치밀화
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber