CN102598228A - 拉伸膜的应力管理 - Google Patents

拉伸膜的应力管理 Download PDF

Info

Publication number
CN102598228A
CN102598228A CN2010800476516A CN201080047651A CN102598228A CN 102598228 A CN102598228 A CN 102598228A CN 2010800476516 A CN2010800476516 A CN 2010800476516A CN 201080047651 A CN201080047651 A CN 201080047651A CN 102598228 A CN102598228 A CN 102598228A
Authority
CN
China
Prior art keywords
substrate
layer
plasma
siliceous
predecessor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800476516A
Other languages
English (en)
Inventor
梁静美
安亚娜·M·帕特尔
妮琴·K·英吉
尚卡·文卡塔拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102598228A publication Critical patent/CN102598228A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明描述了具有低破裂倾向的间隙填充氧化硅层的形成。沉积涉及可流动含硅层的形成,该可流动含硅层促进沟槽的填充。在高基板温度下的后续处理造成比根据现有技术方法所形成的可流动膜少的介电膜中的破裂。描述了在形成间隙填充氧化硅层之前所沉积的可压缩衬垫层,且可压缩衬垫层降低后续沉积的膜会破裂的倾向。在可流动含硅层后沉积的可压缩覆盖层也已经被确定可减少破裂。可单独地或组合地利用可压缩衬垫层与可压缩覆盖层来减少且经常消除破裂。此外,在所公开的实施例中,已经确定可压缩覆盖层可使得氮化硅的下伏层被转变成氧化硅层。

Description

拉伸膜的应力管理
相关申请的交叉引用
本申请是在2009年10月22日提交的发明名称为“STRESSMANAGEMENT FOR TENSILE FILMS”的美国专利申请No.12/604,332的PCT申请,且涉及在2009年8月6日提出的发明名称为“FORMATION OFSILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCES SES”的美国临时专利申请No.61/231,729,为了所有目的,援引所述申请的全部内容作为参考。
发明背景
自从几十年以前引进了半导体器件,半导体器件几何形状在尺寸上已经显著地减小。现代半导体制造设备常规地制造具有250nm、180nm与65nm特征尺寸的器件,并且正在发展且实现新设备以制造具有甚至更小几何形状的器件。减小的特征尺寸使得器件上的结构特征具有减小的空间尺寸。器件上的间隙与沟槽窄到一个程度,所述程度为间隙深度对间隙宽度的深宽比变得足够高以使得用介电材料来填充间隙变得更有挑战性。沉积的介电材料倾向于在间隙完全被填满之前在顶部堵塞,而在间隙中间产生了空隙或缝隙。
多年来,已经发展许多技术来避免使介电材料堵塞间隙的顶部,或“密封”已经形成的空隙或缝隙。一种方式是已经以高可流动的前驱物材料来开始,所述高可流动前驱物材料是在液相中应用到旋转的基板表面(例如SOG沉积技术)。这些可流动的前驱物可流动到非常小的基板间隙内且将其填满,而不会形成空隙或弱的缝隙。然而,一旦这些高可流动的材料被沉积了,所述高可流动的材料需要被固化且被硬化成固体介电材料。
在许多例子中,硬化工艺包括热处理,所述热处理从所沉积材料去除碳与羟基团而留下诸如氧化硅之类的固体电介质。遗憾地,去除的碳与羟物种经常在硬化的电介质中留下孔隙,会降低最终材料的质量。此外,硬化的电介质还倾向于在体积上收缩,会在电介质与周围基板间的界面处留下裂缝与空间。在一些例子中,硬化的电介质的体积可减少40%或更多。
因此,存在有新沉积工艺与材料的需求,所述新沉积工艺与材料可在结构化基板上形成介电材料而不会在基板间隙与沟槽中产生空隙、缝隙或二者。还存在有材料与方法的需求,所述材料与方法可硬化可流动介电材料而具有更少的孔隙与更少的收缩以及容纳仍会发生的收缩。本发明满足了这种和其它需求。
发明概述
本发明描述了具有低破裂倾向间隙填充氧化硅层的形成。沉积涉及可流动含硅层的形成,所述可流动含硅层促进沟槽的填充。在高基板温度下的后续处理造成比根据现有技术方法所形成的可流动膜少的介电膜中的破裂。描述了在形成间隙填充氧化硅层之前所沉积的可压缩衬垫层,且可压缩衬垫层降低了后续沉积的膜会破裂的倾向。在可流动含硅层后沉积的可压缩覆盖层也已经被确定可减少破裂。可单独地或组合地利用可压缩衬垫层与可压缩覆盖层来减少且经常消除破裂。此外,在所公开的实施例中,已经确定可压缩覆盖层可使得氮化硅的下伏层被转变成氧化硅层。
在一个实施例中,一种形成氧化硅层于基板上的方法,所述基板含有沟槽,所述方法包括以下步骤:传送所述基板到基板处理腔室内;形成可压缩衬垫层于所述基板上且在所述沟槽中;形成介电层于所述基板上且在所述沟槽中,其中所述介电层是起初可流动的;以及固化所述介电层。
在又一个实施例中,一种形成氧化硅层于基板上的方法,所述基板含有沟槽,所述方法包括以下步骤:传送所述基板到在基板处理腔室中的基板处理区域内;将等离子体前驱物流动到远程等离子体区域内,以形成等离子体流出物;在所述基板处理区域中结合所述等离子体流出物和含硅前驱物的流动,其中所述含硅前驱物的流动还没由等离子体激发;形成含硅与氧层于所述基板上且在所述沟槽中;形成可压缩覆盖层于所述含硅与氧层上方;以及固化所述含硅与氧层。
在再一个实施例中,一种形成氧化硅层于基板上的方法,所述基板含有沟槽,所述方法包括以下步骤:传送所述基板到基板处理腔室中的基板处理区域内;将等离子体前驱物流动到远程等离子体区域内,以形成等离子体流出物;在所述基板处理区域中结合所述等离子体流出物和含硅前驱物的流动,其中所述含硅前驱物的流动还没由等离子体激发;形成含硅与氮层于所述基板上且在该所述沟槽中;形成可压缩覆盖层于所述含硅与氮层上方;以及在含氧气氛中加热所述基板,以将所述不含碳的含硅与氮层转变成所述氧化硅层。
额外的实施例与特征部分地公开在以下说明中,且部分地对于本领域技术人员在参阅说明书时变得明显或可通过实施所公开的实施例而获悉。所公开的实施例的特征与优点可通过描述在说明书中的装置、组合和方法来了解和获得。
附图简要说明
可通过参照说明书和附图的剩余部分来进一步了解本发明的本质和优点,其中在整个附图里使用相似的附图标记来指代相似的部件。在一些情况中,次标(sublabel)与附图标记相关联且跟随在连字符后,以表示多个类似部件之一。当参照附图标记而没有指定次标时,意指所有这样的多个类似元件。
图1是流程图,所述流程图表示根据所公开的实施例的制造多层氧化硅膜的被选择的步骤。
图2是另一个流程图,所述流程图表示根据所公开的实施例的形成多层氧化硅膜的被选择的步骤。
图3是另一个流程图,所述流程图表示根据所公开的实施例的形成多层氧化硅膜的被选择的步骤。
图4示出根据所公开的实施例的基板处理系统。
图5A示出根据所公开的实施例的基板处理系统。
图5B示出根据所公开的实施例的基板处理系统的喷头。
具体描述
本发明描述具有低破裂倾向的间隙填充氧化硅层的形成。沉积涉及可流动含硅层的形成,所述可流动含硅层促进沟槽的填充。在高基板温度下的后续处理造成比根据现有技术方法所形成的可流动膜少的介电膜中的破裂。描述在形成间隙填充氧化硅层之前所沉积的可压缩衬垫层(linerlayer),且可压缩衬垫层降低后续沉积的膜会破裂的倾向。在可流动含硅层后的可压缩覆盖层也已经被确定可减少破裂。可单独地或组合地利用可压缩衬垫层与可压缩覆盖层来减少破裂。此外,在所公开的实施例中,已经确定可压缩覆盖层可使得氮化硅的下伏层(underlying layer)被转变成氧化硅层。
不将权利要求书的范围限制到假设的工艺机构,包括可压缩衬垫层与/或可压缩覆盖层被认为在后续处理期间或之后能够稳定化可流动间隙填充氧化硅层。可流动膜可能需要固化作为有所区别的固化步骤或作为在后续处理期间加热膜叠层(包括间隙填充膜)的天然副产物。典型地,间隙填充膜是通过去气(outgas)来减少质量且发展拉伸应力。这样的一层在本说明书中可称为拉伸层。可压缩衬垫层被认为在利用可流动间隙填充氧化硅层来填充间隙之前可稳定沟槽。可压缩衬垫层与/或可压缩覆盖层的存在也可实体地粘附到且稳定间隙填充层。基板的实体弯曲度,整体而言,也可通过可压缩层的存在而减轻,所述可压缩层减少了在固化间隙填充膜期间造成的弯曲且减少了在后续处理期间其拉伸应力。现将描述关于形成氧化硅层的方法与系统的额外细节。
示范性氧化硅形成工艺
图1是流程图,所述流程图示出根据本发明实施例的制造氧化硅膜的方法100中被选择的步骤。方法100包括步骤102,所述步骤102是通过高密度等离子体CVD(HDP-CVD)在基板上沉积氧化硅衬垫层。HDP-CVD是形成氧化硅层的示范性方法,其中所述氧化硅层展现拉伸应力(特别是在复合沉积工艺后一旦冷却基板时)。可使用其它方法(例如PECVD、LP-CVD或熔炉氧化物(furnace oxide))来形成氧化硅可压缩衬垫层,这对于在固化/加热膜叠层期间与之后来抑制所完成的膜叠层中的裂缝形成也是同样有效的。可压缩衬垫层也可以是通过各种技术(包括HDP-CVD、PECVD、LP-CVD)与通过使用高温熔炉来生长的氮化硅。
方法100进一步包括步骤104,所述步骤104是将不含碳的硅前驱物提供给反应腔室。不含碳的硅前驱物可以是,除了其它类型的硅前驱物以外,例如:硅与氮的前驱物、硅与氢的前驱物、或含硅、氮与氢的前驱物。这些前驱物的特定实例可包括诸如H2N(SiH3)、HN(SiH3)2、N(SiH3)3和其它甲硅烷基胺之类的甲硅烷基胺。这些甲硅烷基胺可和额外的气体混合,这些额外的气体作为载气、反应性气体、或二者。这些额外的气体的实例可包括H2、N2、NH3、He、Ar与其它气体。不含碳的硅前驱物的实例还可包括硅烷(SiH4),独立地或和其它含硅气体(例如N(SiH3)3)、含氢气体(例如H2)、与/或含氮气体(例如N2、NH3)混合。
在步骤106,也可将自由基氮前驱物提供给反应腔室。自由基氮前驱物包含通过激发等离子体中的含氮前驱物所产生的等离子体流出物,并且示范性含氮前驱物可包括N2O、NO、NO2、NH4OH、NH3与N2。自由基氮前驱物可以是在反应腔室外从更稳定的氮前驱物所产生的含氮自由基物种。例如,稳定的氮前驱物化合物(诸如上述所列的)可在反应腔室外的等离子体单元中被活化以形成自由基氮前驱物,所述自由基氮前驱物接着被传送到反应腔室内。所产生的自由基氮前驱物可包含·N、·NH、·NH2等的一种或更多种,并且也可由形成在等离子体中的离子化物种来伴随。在其它实施例中,自由基氮前驱物产生在反应腔室的一个区块中,所述反应腔室的一个区块是从基板处理区域分隔出的,所述前驱物在所述基板处理区域中混合且反应,以在沉积基板(例如半导体晶片)上沉积硅与氮层。自由基氮前驱物还可以由诸如氢气(H2)、氦等的载气来伴随。
在步骤108,在反应腔室中,未激发的不含碳的硅前驱物与自由基氮前驱物混合且反应,以在沉积基板(所述沉积基板具有沟槽形成在所述沉积基板的表面上)上沉积含硅与氮的膜。通过现有技术的间隙填充技术(诸如HDP-CVD)使用较不可流动膜来填充沟槽而不形成空隙或缝隙可能是困难的。沟槽可具有定义了高度对宽度(即H/W)的深宽比(AR)的高度与宽度,所述深宽比显著地大于1∶1(例如5∶1或更大、6∶1或更大、7∶1或更大、8∶1或更大、9∶1或更大、10∶1或更大、11∶1或更大、12∶1或更大等)。在许多例子中,高AR是由于约90nm至约22nm或更小(例如约90nm、65nm、45nm、32nm、22nm、16nm等)的小间隙宽度。
不像常规的氮化硅(Si3N4)膜,被沉积的含硅与氮膜具有可流动特征,可流动特征允许含硅与氮膜可流动到基板的沉积表面上的窄间隙沟槽与其它结构内。由于层是可流动的,所以可填充具有高深宽比的间隙,而不会在填充材料中心的周围产生空隙或缝隙。例如,沉积可流动材料较不可能在间隙完全地被填满前过早地堵塞间隙的顶部。这有助于减少或消除维持在间隙中间的空隙。
可流动性可以是(至少部分地)由于被沉积的膜中的显著氢成分。例如,被沉积的膜可具有硅氮烷类型的Si-NH-Si主链(即Si-N-H膜)。可流动性也可由硅氮烷类型的短链聚合物产生。当硅前驱物与自由基氮前驱物二者都不含碳时,被沉积的含硅与氮膜也为大体上不含碳的。当然,“不含碳”并不一定意味着膜甚至缺乏微量的碳。碳污染物可存在于前驱物材料中,所述碳污染物找到其进入被沉积的含硅与氮膜的途径。然而,这些碳杂质的量是比在具有碳组成部分的硅前驱物(例如TEOS、TMDSO等)中所发现的少多了。
在沉积了含硅与氮层后,在步骤110中,沉积基板可被引入到含氧气氛。当引入到含氧气氛时,沉积基板可保持在反应腔室中,或者,基板可被传送到引入有含氧气氛的不同腔室。含氧气氛可包含一种或更多种含氧气体,所述含氧气体诸如是分子氧(O2)、臭氧(O3)、水蒸气(H2O)、与氧化氮(NO、NO2等)和其它含氧气体。含氧气氛还可包含自由基氧与羟基物种,诸如原子氧(O)、氢氧化物(OH)等,所述自由基氧与羟基物种可远程地被产生且被传送到基板腔室内。也可存在含氧物种的离子。
在步骤110,含氧气氛提供氧以将含硅与氮膜转变成氧化硅(SiO2)膜。如上文所述,含硅与氮膜中缺乏碳显著地造成在最终氧化硅膜中形成较少孔隙。从沉积到退火的净收缩是通过沉积可流动含硅与氮膜且将其转变成氧化硅(相较于起始沉积可流动含硅与氧膜)来减少。在转变工艺期间,基板温度可以为约25℃至约1100℃C(例如约200℃、约300℃、约400℃、约500℃、约600℃、约700℃、约800℃、约900℃、约1000℃等)。在许多情况下,体积减少是足够微小(例如约15vol.%或更小)以避免后热处理步骤来填充、修复或消除因收缩的氧化硅而形成在间隙中的空间。在实施例中,转变可以两部分发生。这两部分转变可包括低温臭氧固化以起始氧化,接着在含氧环境中进行高温退火。
图1的工艺描述了这样一种工艺,在所述工艺中氧化硅是通过先沉积含硅与氮层且接着将此层转变成氧化硅来形成的。在其它实施例中,被沉积的膜是通过自由基氧前驱物以及还没由等离子体激发的含碳前驱物来产生。然后,被沉积的膜将会是含硅与氧膜,其在后续处理期间(相较于涉及含硅与氮膜的工艺)可能经历更多收缩。没通过等离子体的示范性含碳前驱物可包含TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、HMDSO、和/或TMDSO。自由基氧前驱物包含通过激发等离子体中的含氧前驱物所产生的等离子体流出物,并且示范性含氧前驱物可包含O2、O3、N2O、NO、NO2、H2O2、H2O与NH4OH。以这种方式沉积的膜中的破裂也可通过使用在本发明描述的可压缩衬垫层与覆盖层来减少。
实施例可包括具有不同温度与气氛的多个加热阶段。例如,可在较低第一温度且在包含蒸汽(H2O)的气氛中执行第一加热阶段,可在较高第二温度且在实质上缺乏水蒸气的干的含氧气氛中执行第二加热阶段。也可在非含氧气氛(例如干N2、He、Ar等)中执行第三加热阶段。
现参照图2,示出了另一个流程图,所述流程图表示根据本发明实施例的在沟槽中形成氧化硅膜的方法200中被选择的步骤。方法200可包括传送具有表面沟槽的基板到基板处理区域内。沟槽可用来决定形成在基板上的器件部件(例如晶体管)的间隔与结构。方法200包括操作202,所述操作202是提供不含碳的硅前驱物到反应腔室。不含碳的硅前驱物结合图1讨论。在操作204,如参照图1所描述的,将自由基氮前驱物提供给反应腔室。再次地,替代性实施例涉及引入未激发的含碳前驱物与自由基氧前驱物,以形成可流动含硅与氧膜,所述可流动含硅与氧膜在后续处理期间会呈现更多的收缩。
不含碳的硅前驱物与自由基氮前驱物混合且反应以在沉积基板上沉积可流动含硅与氮膜(操作206)。膜的可流动本质促进表面沟槽的填充,其中使用以现有技术的间隙填充技术(诸如HDP-CVD)来制造的较不可流动膜是难以完全地填满这些沟槽。在沉积后,臭氧流动到反应区域内且基板被加热到相当低的温度,以起始氧化且将含硅与氮膜转变成二氧化硅(操作207)。
接着,在操作208,可压缩覆盖层被沉积在被固化的膜上方,所述被固化的膜含有硅、氧与可能的氮。一种在可压缩应变下沉积覆盖层的方式是以HDP-CVD来沉积,类似沉积图1的衬垫层的方法。可使得覆盖层比衬垫层更厚,这是因为沟槽在工艺中在此点大量地被填充。可压缩覆盖层被整合到工艺流程中,并且由于可压缩覆盖层所提供的额外材料,可致使更薄可流动膜的使用。在一些实施例中,沟槽没有被间隙填充层完全地填满,在此情况下,可压缩覆盖层填充一些沟槽体积。再次地,可使用其它方法(例如PECVD、LP-CVD、熔炉氧化物)来制造可压缩覆盖层,这对于在退火膜叠层期间与之后来抑制所完成的膜叠层中的裂缝形成是同样有效的。可压缩覆盖层也可以是通过各种技术(包括HDP-CVD、PECVD、LP-CVD)与通过使用高温熔炉来生长的氮化硅。
在操作210,在沉积了可压缩覆盖层后,将沉积基板引入到含氧气氛中。再次地,沉积基板可维持在引入有含氧气氛的反应腔室中,或者,基板可被传送到引入有含氧气氛的不同腔室中。含氧气氛如参照图1所描述的。含氧气氛提供氧以完成将含硅与氮膜转变成氧化硅的转变,其中所述转变是在固化期间起始。已经发现尽管存在有可压缩覆盖层,转变会进行。在含氧气氛中加热被固化的含硅与氮层,再次地在基板上与在基板间隙中形成氧化硅层。相较于在热处理步骤前利用含碳前驱物形成的类似层(在层中具有显著量的碳),氧化硅层具有更少的孔隙与更少的体积减少。在所公开的实施例中,可结合图1的可压缩衬垫层与图2的可压缩覆盖层,以进一步保护膜叠层免于发展裂缝。
图3是另一个流程图,所述流程图表示根据本发明实施例的制造氧化硅膜的额外示范性方法300中被选择的步骤。方法300包括操作302,所述操作302是通过高密度等离子体CVD(HDP-CVD)在基板上沉积氧化硅衬垫层。可使用其它方法(例如PECVD、LP-CVD、与熔炉氧化物)来形成可压缩衬垫层,只要此替代性方法形成的可压缩衬垫层可有助于在处理工序中的固化与加热步骤期间与之后减少裂缝。方法300进一步包括操作304,所述操作304是将含硅前驱物与自由基氧前驱物引入到基板处理区域内。
自由基氧前驱物可在等离子体CVD沉积腔室外从例如稳定的含氧气体(例如分子氧(O2)、臭氧(O3)、水蒸气、过氧化氢(H2O2)与氧化氮(例如N2O、NO2等))来产生。如同图1和图2的方法,也可使用稳定气体的混合物来形成自由基物种。自由基氧也可产生在反应腔室的分离区块中,所述反应腔室的分离区块是从基板处理区域分隔出来的。在此分离区块中,稳定的含氧气体由远离基板处理区域的等离子体来激发。分隔物可具有穿孔且在可本发明中称为喷头。
在所公开的实施例中,含硅前驱物被直接地引入到基板处理区域内以避免等离子体激发。含硅前驱物可包含有机硅烷化合物,所述有机硅烷化合物包括TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、TMDSO及其它。含硅前驱物也可包含不具有碳的硅化合物,诸如硅烷、乙硅烷等。如果被沉积的氧化物膜是掺杂氧化物膜,则可使用掺杂剂前驱物(诸如TEB、TMB、B2H6、TEPO、PH3、P2H6、TMP以及其它硼与磷掺杂剂)。掺杂剂也可用在图1至图2所讨论的方法。
在操作306,在反应腔室中,硅前驱物与自由基氮前驱物混合且反应,以在沉积基板(在所述沉积基板表面中形成有沟槽)上沉积含硅与氧的膜。沟槽可具有定义了高度对宽度(即H/W)的深宽比(AR)的高度与宽度,所述深宽比显著地大于1∶1(例如5∶1或更大、6∶1或更大、7∶1或更大、8∶1或更大、9∶1或更大、10∶1或更大、11∶1或更大、12∶1或更大等)。在许多例子中,高AR是由于约90nm至约22nm或更小(例如约90nm、65nm、45nm、32nm、22nm、16nm等)的小间隙宽度。
利用这些方法所制造的膜是起初可流动的,这使得其能流动到基板的沉积表面上的窄间隙或沟槽与其它结构内。膜流动到具有高深宽比的间隙内,而不会在填充材料中心的周围产生空隙或弱缝隙。例如,沉积可流动材料较不可能在间隙完全地被填满前过早地堵塞间隙的顶部而在间隙中间留下空隙。
在操作308,在沉积了含硅与氧膜后,在含硅与氮膜的上方沉积可压缩覆盖层。一种在可压缩应变下沉积覆盖层的方式是利用HDP-CVD来沉积,类似沉积图1的衬垫层与图2的覆盖层的方法。可使得覆盖层比图1与操作302的衬垫层更厚,这是因为沟槽在工艺中在此点大量地被填充。可压缩覆盖层被整合到工艺流程中,并且由于可压缩覆盖层所提供的额外材料,可致使更薄可流动膜的使用。在替代性实施例中,使用衬垫层而不使用覆盖层,并且使用覆盖层而不使用衬垫层。
在操作310,膜叠层被固化以去除一些残留在膜中的流动试剂。尽管存在有可压缩覆盖层,任何从固化膜的去气(outgas)会发生。固化步骤可以不是必要的,取决于期望的最终膜叠层的性质。在其它实施例中,膜是在进一步处理(不可避免地涉及了一些基板加热)的过程中被固化。
现在讨论在本发明中出现的可压缩层的一般性质,根据所公开的实施例的可压缩衬垫层比沟槽宽度的一半更薄,以为了允许后续沉积的可流动膜能够流动到剩余的间隙内。在不同实施例中,衬垫层的厚度可小于或约小于或约
Figure BPA00001545662600092
小于或约
Figure BPA00001545662600093
或小于或约
Figure BPA00001545662600094
可压缩衬垫层必须足够厚,以给沟槽提供必要的稳定性,并且在不同实施例中,所述可压缩衬垫层的厚度可大于或约
Figure BPA00001545662600095
大于或约
Figure BPA00001545662600096
大于或约
Figure BPA00001545662600097
大于或约
Figure BPA00001545662600098
任何上限可和任何下限结合以形成额外的实施例。
在本发明中出现的可压缩覆盖层具有比可压缩衬垫层更大的自由度(latitude),这是因为所述可压缩覆盖层不会受到基板沟槽的宽度所限制。在不同实施例中,可压缩覆盖层的厚度可大于或约大于或约
Figure BPA00001545662600102
大于或约
Figure BPA00001545662600103
大于或约
Figure BPA00001545662600104
大于或约
Figure BPA00001545662600105
或大于或约
Figure BPA00001545662600106
典型地,可压缩覆盖层的厚度的上限是由涉及可流动层的最终厚度的特定工艺流程来决定。
HDP-CVD是可用来形成在本发明所描述的可压缩衬垫与可压缩覆盖层的一种方法。在HDP-CVD沉积期间,基板可位于与用来沉积可流动层的腔室不同的腔室中。示范性沉积腔室是可从美国加州圣克拉拉市的应用材料公司购得的Ultima HDP腔室。当处理300mm晶片的基板时,基板在沉积可压缩膜期间可被维持在低于约500℃或在约300℃与约400℃之间,并且所施加的总源等离子体RF功率可以是5000W至10,000W(排除偏置功率)。基板偏置功率可以是在2000W与7000W之间。更高的偏置功率与在衬垫和覆盖层中更高的可压缩应力有关。可使用除了Ultima HDP以外的腔室,其具有操作条件的转换,所述转换可从处理工具供应商获得或可仅为本领域普通技术人员所熟知。非偏置RF功率发生器所发出的频率可以为约2MHz,而偏置RF功率发生器所发出的频率可以为约13.56MHz。在HDP-CVD期间,各种含氧与含硅源可流动到处理区域内,并且典型的前驱物包括O2与SiH4。在使用这两种前驱物的情况中,O2∶SiH4的流速比例可以为在约0.25∶1与约1∶1之间。
在沉积含硅膜(在上述实例中包含氮与/或碳)期间,可进行可流动膜生长,同时基板温度被维持在相当低的温度。在沉积期间,可流动氧化物膜可在低温下被沉积在基板表面上,其中所述低温是通过冷却基板来维持。基座可包括在基座轴内的加热与/或冷却导管,其在不同实施例中可将基座与基板的温度设定在约-40℃与约200。C之间、在约100℃与约160℃之间、小于约100℃、或小于约40℃。
在可流动膜的生长期间,腔室等离子体区域或基板处理区域中的压力可小于或约100Torr、小于或约50Torr、小于或约20Torr、小于或约10Torr、小于或约5Torr。在不同实施例中,在任一个区域或两个区域中之的压力可大于或约0.25Torr、大于或约0.5Torr、大于或约1Torr、大于或约2Torr、大于或约5Torr。根据所公开的实施例,压力的每一下限可与任何上限结合以形成额外的适当压力范围。
在可流动膜的生长期间(以为了制造自由基氧与/或自由基氮前驱物),腔室等离子体区域中的等离子体条件在不同实施例中可包括在约3000W与约15,000W之间、在约400W与约10,000W之间、或在约5000W与约8000W之间的RF功率。
示范性基板处理系统
沉积系统的实施例可被并入到更大的制造系统以制造集成电路芯片。图4示出根据所公开的实施例的沉积、烘烤与固化腔室的一个这样的系统400。在此图中,一对FOUP(前开式晶片盒(front opening unified pod))402供应基板(例如300mm直径晶片),这些基板由机械臂404接收且在被放置到基板处理腔室408a至408f的一个之前被放置到低压固持区域406内。第二机械臂410可用来从固持区域406将基板晶片传送到处理腔室408a至408f且返回。
处理腔室408a至408f可包括用以沉积、退火、固化与/或蚀刻基板晶片上的可流动介电膜的一个或更多个系统部件。在一个组态中,两对处理腔室(例如,408c和408d,以及408e和408f)可用以沉积可流动介电材料在基板上,并且第三对处理腔室(例如408a和408b)可用用来退火被沉积的电介质。在另一个组态中,这相同的两对处理腔室(例如,408c和408d,以及408e-和408f)可被配置为沉积且退火基板上的可流动介电膜,而第三对处理腔室(例如408a和408b)可用于被沉积的膜的UV或电子束固化。在又一个组态中,所有三对处理腔室(例如408a至408f)可配置为沉积、固化可流动介电膜在基板上。在再一个组态中,两对处理腔室(例如,408c和408d,以及408e和408f)可均用于可流动电介质的沉积以及UV或电子束固化,而第三对处理腔室(例如408a和408b)可用以退火介电膜。可了解,用于可流动介电膜的沉积、退火与固化腔室的额外组态可由系统400设想出。
此外,处理腔室408a至408f的一个或更多个可被配置成湿法处理腔室。这些处理腔室包括在包含湿气的气氛中加热可流动介电膜。因此,系统400的实施例可包括湿法处理腔室408a和408b与退火处理腔室408c和408d,以对被沉积的介电膜执行湿法与干法退火。
图5A是根据所公开的实施例的基板处理腔室500。远程等离子体系统(RPS))510可处理气体,所述气体接着穿过气体入口组件511。气体入口组件511内可看见两个分离的气体供应通道。第一通道512承载通过远程等离子体系统RPS 510的气体,而第二通道513绕过RPS 500。在所公开的实施例中,第一通道512可用于工艺气体,并且第二通道513可用于处理气体。盖(或导电顶部)521与穿孔分隔物553显示在盖521与穿孔分隔物553之间具有绝缘环524,绝缘环524允许AC电位相对于穿孔分隔物553被施加到盖521。工艺气体穿过第一通道512到腔室等离子体区域520内,并且可独立地由腔室等离子体区域520中的等离子体或和RPS 510的组合来激发。腔室等离子体区域520与/或RPS 510的组合在本发明种可称为远程等离子体系统。穿孔分隔物(也称为喷头)553将腔室等离子体区域520与喷头553下方的基板处理区域570分离。喷头553允许等离子体存在于腔室等离子体区域520中以避免直接地激发基板处理区域570中的气体,同时仍允许被激发的物种可从腔室等离子体区域520行进到基板处理区域570内。
喷头553位于腔室等离子体区域520与基板处理区域570之间,并且允许腔室等离子体区域520中建立的等离子体流出物(前驱物或其它气体的激发衍生物)穿过多个横跨板厚度的穿孔556。喷头553还具有一个或更多个中空容积551,这些中空容积551可被填充以蒸气或气体形式的前驱物(诸如含硅前驱物)且其穿过小孔555到基板处理区域570内但不直接到腔室等离子体区域520内。在本公开的实施例中,喷头553比穿孔556的最小直径550的长度更厚。为了维持激发物种从腔室等离子体区域520渗透到基板处理区域570的显著浓度,穿孔的最小直径550的长度526可由形成穿孔556通过喷头553的较大直径部分来限制。在所公开的实施例中,穿孔556的最小直径550的长度可和穿孔556的最小直径具有相同数量级或更小的数量级。
在所示出的实施例中,一旦通过腔室等离子体区域520中的等离子体所激发,喷头553可分配(经由穿孔556)含有氧、氢与/或氮的工艺气体与/或这样工艺气体的等离子体流出物。在实施例中,通过第一通道512引入到RPS 510与/或腔室等离子体区域520的工艺气体可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy(包括N2H4)、硅烷、乙硅烷、TSA、与DSA的一种或多种。工艺气体还可包括载气,所述载气诸如是氦、氩、氮(N2)等。第二通道513也可输送工艺气体与/或载气、与/或用来从生长或所沉积的膜去除不希望的成分的膜固化气体。等离子体流出物可包含工艺气体的离子化或中性衍生物,并且在在发明中可称为自由基氧前驱物与/或自由基氮前驱物(其参照所引入的工艺气体的原子构成物)。
在实施例中,穿孔556的数量可在约60个与约2000个之间。穿孔556可具有各种形状,但是为最容易制造的圆形。在所公开的实施例中,穿孔556的最小直径550可为在约0.5mm与约20mm之间或在约1mm与约6mm之间。还有选择穿孔的截面形状的自由,所述截面形状可以是圆锥形、圆柱形、或这两种形状的组合。在不同实施例中,用来将气体引入到基板处理区域570内的小孔555的数量可在约100个与约5000个之间或在约500个与约2000个之间。小孔555的直径可为在约0.1mm与约2mm之间。
图5B是根据所公开的实施例与处理腔室共同使用的喷头553的仰视图。喷头553与图5A示出的喷头相应。穿孔556被描绘为在喷头553的底部具有较大内径(ID)且在顶部具有较小内径(ID)。小孔555实质上均匀地散布在喷头表面的上方(甚至在这些穿孔556之间),这有助于提供比在本发明描述的其它实施例更均匀的混合。
当穿过喷头553的穿孔556而达到的等离子体流出物和穿过小孔555(源自中空容积551)而到达的含硅前驱物结合时,示范性膜被建立在基板处理区域570中由基座(未示出)所支撑的基板上。尽管基板处理区域570可设置为支持用于其它工艺(诸如固化)的等离子体,所述示范性膜的生长期间不存在有等离子体。
可在喷头553上方的腔室等离子体区域520中或喷头553下方的基板处理区域570中点燃等离子体。典型地,在沉积期间,射频(RF)范围中的AC电压被施加在处理腔室的导电顶部521与喷头553之间,以在腔室等离子体区域520中点燃等离子体。当基板处理区域570中的底等离子体被启动以固化膜或清洁基板处理区域570的内表面时,顶等离子体被保持在低功率或没有功率。基板处理区域570中的等离子体是通过施加AC电压于喷头553与腔室的基座或底部来点燃。当等离子体存在时,可将清洁气体引入到基板处理区域570内。
基板处理系统由系统控制器来控制。在示范性实施例中,系统控制器包括硬盘驱动器、软盘驱动器以及处理器。处理器含有单板计算机(single-boardcomputer)(SBC)、模拟与数字输入/输出板、接口板以及步进电机控制器板。CVD系统的各部件符合欧洲通用模块(Versa Modular European)(VME)标准,其定义了板、卡盒(card cage)、以及连接器尺寸和类型。VME标准也将总线结构定义成16位数据总线与24位地址总线。
系统控制器控制CVD机器的所有活动。系统控制器执行系统控制软件,所述系统控制软件是存储在计算机可读介质中的计算机程序。优选地,介质是硬盘驱动器,但介质也可以是其它类型的存储器。计算机程序包括指令组,所述指令组可命令特定工艺的时间点、气体混合、腔室压力、腔室温度、RF功率水平、载座位置与其它参数。存储在其它存储器器件(包括例如软盘或其它适当的驱动器)上的其它计算机程序也可用来指示系统控制器。
可使用由系统控制器执行的计算机程序产品来实现用来在基板上沉积膜叠层的工艺或用来清洁腔室的工艺。计算机程序代码能够以任何常规的计算机可读编程语言来撰写:例如,68000汇编语言、C、C++、Pascal、Fortran或其它。适当的程序代码是使用常规的文字编辑器被转成单一文档或多个文档,并且被存储或内嵌在计算机可执行介质(诸如计算机的存储器系统)中。如果转换的代码文本是属于高级语言,代码被编译,并且最终的编译器代码接着链接到预编译的微软视窗
Figure BPA00001545662600141
库例程的目标代码。为了执行链接的编译的目标代码,系统使用者调用目标代码,使计算机系统将存储器中的代码载入。然后,CPU读取且执行所述代码,以实施程序中所指定的任务。
使用者与控制器之间的界面是经由平板触摸感应监视器。在使用两个监视器的优选实施例中,一个监视器是安装在清洁室壁中以供操作者使用,而另一个监视器是安装在壁后面以供维修技术员使用。这两个监视器可同时地显示相同的信息,在这种情况下只有一个监视器会在一个时间点接收输入。为了选择特定的显示屏或功能,操作者触摸所述碰触感应监视器的指定区域。被触摸的区域会改变其突出颜色,或会显示新菜单或显示屏,确认了操作者与触摸感应监视器之间的通信。可使用其它装置(诸如键盘、鼠标、或其它指向或通信装置)来取代或添加到所述触摸感应监视器,以允许使用者和系统控制器通信。
在本发明使用的“基板”可以是具有或不具有其上形成有层的支撑基板。支撑基板可以是绝缘体或具有各种掺杂浓度和轮廓的半导体,并且可以是例如用来制造集成电路的类型的半导体基板。在本发明使用的处于“激发状态”的气体描述这样一种气体,其中至少一些气体分子是处于振动地激发、解离与/或离子化状态。气体可以是两种或更多种气体的组合。术语沟槽是在说明书中使用而没有暗示被蚀刻的几何形状必须具有大的水平深宽比。从表面的上方观察,沟槽可以是圆形、椭圆形、多边形、矩形或各种其它形状。
已经描述了一些实施例,本领域技术人员可了解的是,在不脱离本发明的精神下,可使用各种变型、替代性结构与等同物。此外,没有描述许多已知的工艺与元件,以为了避免不必要地模糊化本发明。因此,上述说明不应被视为限制本发明的范围。
当提供数值的范围时,应了解,也特定地公开了所述范围的上限与下限之间的各中间数值(达下限单位的十分之一,除非文中清楚地指出)。任何所记载数值或所记载范围内的中间数值与任何其它所记载数值或在所记载范围内的中间数值之间的各更小范围被涵盖。这些更小范围的上限与下限可独立地被包括在所述范围内或被排除所述范围外,并且包括有任一限制、没有包括限制、或二者的各范围也被涵盖在本发明中,受到任何特定地排除在所记载范围外的限制。所记载范围包括限制的一个或两个时,排除这些所包括的限制的任一个或两个的范围也被包括。
如在本发明所使用的且如所附权利要求书中所示,单数形式“一”、“一个”与“所述”包括多个参照物,除非文中清楚地指明。因此,例如,“一种工艺”包括多个这样的工艺,并且“所述前驱物”包括本领域技术人员所熟知的一个或更多个前驱物及其等同物,以及诸如此类的。
此外,在说明书中和所附权利要求书中使用的术语“包含”与“包括”意在指明所记载特征、整体、部件或步骤的存在,但其不会排除一个或更多个其它特征、整体、部件、步骤、动作或群的存在或添加。

Claims (22)

1.一种形成氧化硅层于基板上的方法,所述基板含有沟槽,所述方法包括以下步骤:
传送所述基板到基板处理腔室内;
形成可压缩衬垫层于所述基板上且在所述沟槽中;
形成介电层于所述基板上且在所述沟槽中,其中所述介电层是起初可流动的;以及
固化所述介电层。
2.如权利要求1所述的方法,其中所述可压缩衬垫层是使用熔炉、PECVD、LP-CVD以及HDP-CVD的一个来沉积的。
3.如权利要求1所述的方法,进一步包括以下步骤:
在固化所述介电层之前,形成可压缩覆盖层于所述介电层上方。
4.如权利要求1所述的方法,其中所述沟槽具有约50nm或更小的宽度。
5.一种形成氧化硅层于基板的方法,所述基板含有沟槽,所述方法包括以下步骤:
传送所述基板到基板处理腔室中的基板处理区域内;
将等离子体前驱物流动到远程等离子体区域内,以形成等离子体流出物;
在所述基板处理区域中结合所述等离子体流出物和含硅前驱物的流动,其中所述含硅前驱物的流动还没由等离子体激发;
形成含硅与氧层于所述基板上且在所述沟槽中;
形成可压缩覆盖层于所述含硅与氧层上方;以及
固化所述含硅与氧层。
6.如权利要求5所述的方法,进一步包括以下步骤:
在形成所述含硅与氧层于所述基板上之前,形成可压缩衬垫层。
7.如权利要求5所述的方法,其中所述含硅前驱物包含含硅与碳前驱物,并且所述等离子体流出物包含自由基氧前驱物。
8.如权利要求7所述的方法,其中所述含硅与碳前驱物包含TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、HMDSO以及TMDSO的至少一种,并且所述等离子体前驱物包含O2、O3、N2O、NO、NO2、H2O2、H2O以及NH4OH的至少一种。
9.如权利要求5所述的方法,其中所述沟槽具有约50nm或更小的宽度。
10.如权利要求5所述的方法,其中所述含硅前驱物包含含硅与氮前驱物,所述等离子体流出物包含自由基氮前驱物,并且所述方法进一步以下步骤:
在含臭氧气氛中加热所述基板,以至少部分地将被沉积的膜转变成所述含硅与氧层。
11.如权利要求5所述的方法,其中所述含硅与氮前驱物包含H2N(SiH3)、HN(SiH3)2以及N(SiH3)3的至少一种,并且所述等离子体前驱物包含NH3、NH4OH、N2O、NO、NO2、N2以及H2的至少一种。
12.如权利要求5所述的方法,其中所述远程等离子体区域是在所述基板处理腔室内且通过喷头与所述基板处理腔室分离。
13.如权利要求5所述的方法,其中所述可压缩覆盖层是使用熔炉、PECVD、LP-CVD以及HDP-CVD的一个来沉积的。
14.一种形成氧化硅层于基板的方法,所述基板含有沟槽,所述方法包括以下步骤:
传送所述基板到基板处理腔室中的基板处理区域内;
将等离子体前驱物流动到远程等离子体区域内,以形成等离子体流出物;
在所述基板处理区域中结合所述等离子体流出物和含硅前驱物的流动,其中所述含硅前驱物的流动还没由等离子体激发;
形成含硅与氮层于所述基板上且在所述沟槽中;
形成可压缩覆盖层于所述含硅与氮层上方;以及
在含氧气氛中加热所述基板,以将所述不含碳的含硅与氮层转变成所述氧化硅层。
15.如权利要求14所述的方法,进一步包括以下步骤:
在形成所述含硅与氮层于所述基板上之前,形成可压缩衬垫层。
16.如权利要求14所述的方法,进一步包括以下步骤:
在形成所述可压缩覆盖层之前,在含臭氧气氛中固化所述含硅与氮层。
17.如权利要求14所述的方法,其中所述含硅前驱物包含含硅与氮前驱物,并且所述等离子体流出物包含自由基氮前驱物。
18.如权利要求17所述的方法,其中所述含硅与氮前驱物包含H2N(SiH3)、HN(SiH3)2以及N(SiH3)3的至少一种,并且所述等离子体前驱物包含N2O、NO、NO2、NH4OH、NH3、N2以及H2的至少一种。
19.如权利要求14所述的方法,其中所述含氧气氛包含O2、O3以及H2O的至少一种。
20.如权利要求14所述的方法,其中所述沟槽具有约50nm或更小的宽度。
21.如权利要求14所述的方法,其中所述远程等离子体区域是在所述基板处理腔室内且通过喷头与所述基板处理腔室分离。
22.如权利要求14所述的方法,其中所述可压缩覆盖层是使用熔炉、PECVD、LP-CVD以及HDP-CVD的一个来沉积的。
CN2010800476516A 2009-10-22 2010-10-13 拉伸膜的应力管理 Pending CN102598228A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/604,332 2009-10-22
US12/604,332 US7935643B2 (en) 2009-08-06 2009-10-22 Stress management for tensile films
PCT/US2010/052548 WO2011049800A2 (en) 2009-10-22 2010-10-13 Stress management for tensile films

Publications (1)

Publication Number Publication Date
CN102598228A true CN102598228A (zh) 2012-07-18

Family

ID=43901011

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800476516A Pending CN102598228A (zh) 2009-10-22 2010-10-13 拉伸膜的应力管理

Country Status (6)

Country Link
US (1) US7935643B2 (zh)
JP (1) JP2013508975A (zh)
KR (1) KR20120091235A (zh)
CN (1) CN102598228A (zh)
TW (1) TW201133624A (zh)
WO (1) WO2011049800A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110622298A (zh) * 2017-05-13 2019-12-27 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN114867890A (zh) * 2019-11-27 2022-08-05 应用材料公司 用于等离子体沉积的初始调制

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013048872A1 (en) * 2011-09-26 2013-04-04 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8937011B2 (en) 2012-12-18 2015-01-20 Sandisk 3D Llc Method of forming crack free gap fill
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2020517103A (ja) * 2017-04-10 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔窒素ラジカル源によって可能となる高堆積速度高品質の窒化ケイ素
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11387138B2 (en) * 2018-09-25 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit isolation feature and method of forming the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111599675A (zh) * 2020-05-25 2020-08-28 上海华力集成电路制造有限公司 一种自对准双重图形化的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US20050153574A1 (en) * 2000-01-18 2005-07-14 Mandal Robert P. Very low dielectric constant plasma-enhanced CVD films
US20090035917A1 (en) * 2007-08-03 2009-02-05 Sang Tae Ahn Method for forming device isolation structure of semiconductor device using annealing steps to anneal flowable insulation layer
CN101416293A (zh) * 2006-03-31 2009-04-22 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill

Family Cites Families (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) * 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) * 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US20020081817A1 (en) * 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
KR100505419B1 (ko) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
EP1751325A4 (en) * 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050153574A1 (en) * 2000-01-18 2005-07-14 Mandal Robert P. Very low dielectric constant plasma-enhanced CVD films
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
CN101416293A (zh) * 2006-03-31 2009-04-22 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US20090035917A1 (en) * 2007-08-03 2009-02-05 Sang Tae Ahn Method for forming device isolation structure of semiconductor device using annealing steps to anneal flowable insulation layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110622298A (zh) * 2017-05-13 2019-12-27 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN110622298B (zh) * 2017-05-13 2023-09-22 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN114867890A (zh) * 2019-11-27 2022-08-05 应用材料公司 用于等离子体沉积的初始调制

Also Published As

Publication number Publication date
WO2011049800A3 (en) 2011-07-14
TW201133624A (en) 2011-10-01
US7935643B2 (en) 2011-05-03
WO2011049800A2 (en) 2011-04-28
JP2013508975A (ja) 2013-03-07
US20110034035A1 (en) 2011-02-10
KR20120091235A (ko) 2012-08-17

Similar Documents

Publication Publication Date Title
CN102598228A (zh) 拉伸膜的应力管理
CN102668045A (zh) 不含碳自由基成分cvd膜的氧掺杂
CN103348456A (zh) 自由基蒸汽化学气相沉积
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
CN102754193A (zh) 使用氧化物衬垫的可流动电介质
CN102687252A (zh) 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
CN103038868A (zh) 用于流动式cvd间隙填充的富含氧化物的衬垫层
CN103477422B (zh) 低温氧化硅转换
CN102741989A (zh) 固化无碳可流动cvd膜
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
CN102714156A (zh) 自由基成分cvd的原位臭氧固化
CN103154102A (zh) 胺硬化的硅-氮-氢薄膜
CN102498551A (zh) 使用非碳可流动cvd处理形成氧化硅
CN103415914A (zh) 平面化后的致密化
CN102844848A (zh) 通过自由基成分化学气相沉积的共形层
CN102668061A (zh) 后平坦化致密化
TW201308432A (zh) 降低釋氣的覆蓋層
CN100501970C (zh) 前金属介电层的有限热预算形成

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120718