TW200834677A - Method for forming a strained thin film and method for forming a silicon nitride film - Google Patents

Method for forming a strained thin film and method for forming a silicon nitride film Download PDF

Info

Publication number
TW200834677A
TW200834677A TW096146560A TW96146560A TW200834677A TW 200834677 A TW200834677 A TW 200834677A TW 096146560 A TW096146560 A TW 096146560A TW 96146560 A TW96146560 A TW 96146560A TW 200834677 A TW200834677 A TW 200834677A
Authority
TW
Taiwan
Prior art keywords
substrate
species
forming
precursor
atom
Prior art date
Application number
TW096146560A
Other languages
English (en)
Inventor
Vikram Singh
Harold M Persing
Edmund J Winder
Anthony Renau
George Demetrios Papasouliotis
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW200834677A publication Critical patent/TW200834677A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

200834677 26398ριί 九、發明說明: 【發明所屬之技術領域】 本發明是有關於半導體製程,特別是有關於原子層沈 積(atomic layer deposition)的技術。 【先前技術】 現代半導體製程已經產生了對高品質之薄膜結構的精 確的原子級沈積(atomic-level deposition)的需要。響應這種 需要’近年來已經開發了多種被統稱為“原子層沈積 (ALD)” 或“原子層蠢晶(at〇mic lay ere pitaxy,ALE)”的膜成 長技術。ALD技術能夠以原子層的精度(accuracy)來沈積 均勻且順應性的膜。典型的ALD製程使用連續自限制表 面反應(self_limiting surface reaction)來將膜成長控制在單 層厚度區間(regime)内。由於其對膜之順應性(conformity) 以及均勻性的良好潛力,ALD已經成為進階應用的選擇技 術,例如微電子元件中的高介電常數(high_k)閘極氧化層、 儲存笔谷電介質以及銅擴散障壁(C0pper diffusi〇n barrier)。實際上,ALD技術對所有從奈米(nm)或次奈米等 級之薄膜結構的精確控制受益的進階應用都是有用的。 然而,目前為止,大多數的現有沈積技術受到固有缺 陷的影響,並且在半導體工業中無法可靠地用於大規模生 產例如被稱為分子束蠢晶(molecular beam epitaxy, MBE)的沈積技術使用擋板控制⑽加^_c_r〇iiecj)的單個 瀉流室(effusion cell)來向基板表面引導不同物種(叩 的原子’ 些原子在基板表面上彼此反應以形成期望的單 6 200834677 26398pif 層。固體源(solid-source)MBE製程中,瀉流室必須加熱到 相當鬲的、溫度’以進行組分原子(ingredient atoms)的熱離子 發射(thermionic emission)。此外,必須維持極高的真空, 以確保組分原子到達基板表面之前在它們中間不發生碰 • 撞。儘管需要高溫及高真空,但MBE膜成長率對於大規 . 模生產來說確是相當低的。 另一 ALD 技術被稱為溫度調控 ^ (temPerature-m〇dulated)原子層磊晶(ALE)。根據此技術, ’ 為了成長矽膜,重複以下步驟。首先,在18〇t:與400T:之 間的低溫下將單層的矽烧(SiH4)沈積於基板表面上。隨 後,基板溫度陡升到大約550°C,以脫附氫原子,剩下單 層的矽。儘管此技術的確達成了受控之逐層的 (layer-by-layer)膜成長,但需要重複的溫度突波(spike),使 其難以在大的晶圓上維持均勻性以及層與層間的可重複性 (repeatability)。此外,將基板加熱到高溫會損壞或破壞在 先釗的製程步驟中形成於基板上的精密結構(deiicate (J structures)。 一種現有的ALD技術採用離子轟擊來脫附過量的氫 原子。根據此技術,使用二矽烷(disilane, Si2H6)氣體來在 • 基板表面上形成二矽烷單層。然後,利用氦或氬離子轟擊 、 基板表面以從二矽烷單層脫附過量的氫原子,而形成矽單 層。可能由於過度的高能離子轟擊(〜5〇ev離子能量),膜 成長率相當低(低於每循環〇·15單層),並且高能離子通量 (flux)貫質上是直視性(iine_〇fsight)製程,因此危害了原子 200834677 26398pif 層沈積進行高順應性沈積的潛力。進一步,高能離子還引 起結晶缺陷(crystalline defect),這造成不得步進行沈積後 的退火(post-deposition annealing)。 而且’對ALD沈積的薄膜進行順應性摻雜,特別是 在3-D結構(例如,f?inFETs)中,對製程工程師來說仍是 一種挑戰。不希望利用現有離子植入技術來將摻質引入到 3 D的順應性覆盖結構(c〇nf〇rmaiiystrucfure)内, 不僅疋因為難以達成摻質分佈的均勻性,而且由於植入後 的退火(post-implant anneal)所產生的潛在損壞。 由於上述原因,希望提供一種克服上述不足以及缺點 的原子層沈積的解決方案。 【發明内容】 種原子層沈積技術。在一實施例中,此技術為一種 ,芰j膜的形成方法。此方法包括向基板表面供應一種或 夕種如驅物質(precurs〇r substances),前驅物質具有至少一 第-物種的原子以及至少—第二物種的原子,藉此在基板 表面上形成—層前驅物質。此綠還包括將基板表面暴露 於電ί產ί之第三物種的介穩態原子(metastable atoms), "、中’I %悲、原子從基板表面脫附至少一第二物種的原子, 乂^/成至J 一第一物種的原子層。至少一第一物種之原子 層内的期望的應力(stress)大小,藉由控制從以下參數所構 群中選擇的_種或多種參數來達成··沈積溫度、至 /、第物種的原子層的成分(comp0siti〇n)、至少一第一 物種的原子層_摻質(咖磁㈡)數量以及與第三物種的 200834677 26398pif 介穩態原子相關聯的通量(flux)或能量(energy)。可在多個 沈積循環(deposition cycles)中重複上述步驟,直到達成期 望的膜厚(film thickness)。 Γ 在另一貫施例中,此技術為一種氮化矽膜的形成方 法。此方法包括向基板表面供應具有矽和氮原子的一種或 多,前驅物質,藉此在基板表面上形成一層上述一種或多 種二驅物質。此方法還包括將基板表面暴露於電漿產生之 第三物種的介穩態原子,其中介穩g原子從—種或多 驅物質的層中朗過量_原子和氮原子,以形成氮化石夕 個沈積循環中重複上述步驟’直到達成 在另一實施例中,此技術是一種氮化矽膜的形成方 法包括向基板表面供應具有抑子的—種或多種 ===表面上形成一層上述一種或多種前 ;於電裝產生之氮的介穩態原子,以形成氮切的= 二=個沈積循環中重複上述步驟,直卿 現在參照關所*的實_更詳細地描述本 發明。儘 管下文參照實述本伽,但應該_本發^ 太獲得本文之教導的本領域熟知此項技藝者將= 當二圍内並且本發明相對 域。 Λ知方式、修改和貫施例,以及其他應用領 9 Ο
足夠鬲的劑虿供應,以飽和基板表面並在其上形成二石夕烧 單層104。然而,在整個發明中,詞語“飽和,,的使用並不 排除基板表面僅由用於“飽和”此表面的物質來部份覆蓋的 情況。基板102以及處理環境可維持在謹慎選擇的溫度 200834677 26398pif 【實施方式】 為了解決與現有料層沈積技術相_上述技術問 題’本發明的實關引人助以及臨場(in獅)摻雜技術。 使用介穩態原子來麵過量的科。例如,介穩態原子產 纽電^内。出於示意的目的,後續描述將集中於使用 ti介穩悲原子來沈積摻雜或非摻雜矽的方法和裝置。應該 知道’ __賴似的技術,還可以使用誠其他^ 態原子來成長其他物種的薄膜。 參照圖1,其顯示了根據本發明_實施例的原子層沈 積循環100的方塊示意圖。原子層沈積循環1〇〇包括兩個 又(phase) ’ 即’飽和 又(saturationphase)lO 以及脫附階 段12。 在飽和階段10中’基板102暴露於二矽烷(si2H6)氣 體。為了成長矽膜,基板表面可包括例如矽、絕緣層上覆 石夕(silicinsulator,SOI)和 / 或二氧化矽(smc〇n dioxide)。二矽烷氣體作為矽前驅(silic〇n precurs〇r),且以 下’以防止别驅物氣體在基板表面上凝結或分解。在本實 施例中,基板102被加熱且維持在18〇。(:與400°C之間的溫 度下,儘管將基板102加熱並且維持在其他溫度範圍也落 在本發明的範圍内。 10 200834677 26398pif 在脫附階段12,基板102暴露於介穩態原子,此介穩 態原子的能量足以從前驅物單層中脫附過量的原子。根據 本實施例’氦介穩態原子用於從在飽和階段10形成之二矽 烷單層104中部份地或者完全地脫附過量的氫原子。氦介 穩態原子可由例如感應搞合式(inductively coupled)電漿中 的氦氣體產生。各個氦介穩態原子的内部能量大約為 20eV,此能量可用於打破矽原子與氫原子之間的結合鍵 (bond)。根據某些實施例,惰性氣體(氦、氬等)的介穩態以 及其他激發態傾向於發射光子(photon),此光子也可間接地 驅動基板表面上的脫附反應。在移除過量的氫原子之後, 石夕單層106形成於基板表面上。根據某些實施例,可以不 移除所有過量的氫原子。因此,在脫附階段12結束時,矽 單層106的表面為懸鍵((jangiing b〇nd)以及氫端鍵結 (hydrogen_terminated)矽原子的混合物。 在飽和階段10和脫附階段12之間,利用一種或多種 惰性氣體(例如,氦或氬)來清洗基板表面,以移除過量的 反應氣體以及副產品(例如,氫)。從飽和階段10至脫附階 段12的整個循環(包括二階段之間的“清洗(purge),,步驟在 被稱為一“沈積循環”。可重複沈積循環100,以一次一 單層(或者部份單層)地形成純矽的薄膜(例如,結晶、多晶 或非晶態等)。 根據本發明的實施例,使用介穩態原子而不是離子, 有利於從由前驅物質進行飽和處理的基板表面脫附過量的 原子。當為了脫附目的而在電漿中產生介穩態原子時,希 200834677 26398ριί 望防止帶電粒子(例如,電子以及離子)到達基板表面,使 得由於這些帶電粒子產生的非等向性(anis〇tr〇pic)膜屬性 降低或得到最小化。可採取多種解決方法來防止帶電粒子 影響形成於基板表面上的ALD膜。例如,可將一種或多 • 種裝置(例如,擋板(baffle)或屏(screen))插入電漿源和基板 . 之間。這些裝置進一步加偏壓以過濾出不想要的帶電粒 子。或者,可建立電磁場來偏轉帶電粒子。根據其他實施 ^ 例,可調節基板表面的方位來最小化帶電粒子的入射流。 例如’基板平臺可進行反轉或者以其他方式轉離電漿源的 視線(line 〇f sight)。或者,電漿源可定位成距離基板一定 距離,以使相當大部份的帶電粒子由於散射或者碰撞而無 法到達基板表面。 … 參照圖2,其顯示了根據本發明另一實施例的原子層 沈積循環200的方塊示意圖。根據本實施例,上文的圖1 所示的ALD製程不僅可用於沈積單物種的薄膜,而且還 可將摻質引入薄膜或者形成多物種和/或交替分層的膜,所 I) 有這些過程均是以受控方式進行的。例如,除了非摻雜的 矽膜,還可以根據略微修改之ALD製程來成長摻雜矽膜。 根據這種修改之ALD製程,一或多次沈積循環1〇〇可由 • 一或多次沈積循環200所取代。 • 在沈積循環200的飽和階段20中,摻質前驅物氣體取 代石夕前驅物氣體或者與矽前驅物氣體同時提供。在圖2所 不的實施例中,摻質前驅物是二硼烷(Β2Η6),它吸附(或“化 學吸附”)到基板1〇2的表面,以形成二硼烷單層204。在 12 Ο
G 200834677 這種情況下,下方表面可包括在前一沈積猶環中沈積 的矽單層。二硼烷單層204可以部份或者完全地覆蓋下方 表面。 在沈積循環200的脫附階段22中,基板1〇2如上文所 描述地暴露於氦介穩態原子。氦介穩態原子可從二硼烷單 層204中脫附過量的氫原子,剩下部份或完全的硼單層 206。 曰 藉由控制利用沈積循環2〇〇取代沈積循環1〇〇之次數 並藉由控制在飽和階段20内供應之二硼烷氣體的劑量 (d〇Se),可在矽膜内達成期望的硼摻質的密度曲線(density profile)。由於這種臨場摻雜技術依賴於摻質原子的順應性 沈積(conformal deposition)而不是離子植入,因而可在複雜 的3-D結構(例如FinFET)的表面上達成均勻的摻質分佈。 進步,不需要進行沈積後離子摻雜的摻質原子所必需的 南溫擴散製程。取而代之,不f要進行退火或者僅需要低 溫退火,這導致摻質的擴散降低,並因而產生非常陡峨的 (或“盒狀”)摻質曲、線。同樣,本發明的實施例可在·% =,下的溫度下實施,這正好處於半導體工業的“熱預 异(thermal budget)内。 之、^^發_原子層沈積可μ取決於基板表面成分 廷’衣程。例如’圖!所示的製程可以在石夕或s〇i表 面亡而不是在二氧切(Si〇2)表面上沈積料層。因而, -乳u夕可用來作為罩幕層,以遮罩基板表面的選定部份。 該知道’儘官在上述實例中僅使用了氦介穩態原 13 200834677 子,但其他物種的原子也可用於脫附製程。這些物種的選 擇基於它們的介穩態或激發態之壽命和能量。表1提供了 其介穩態原子可用於ALD製程的脫附階段之備選物種的 列表。 表1 物種 壽命(s) 能量(eV) He 8000 19.8 ~ Ne 24 17 Ar 40 12 Kr 30 10 Xe 43 O ~ ο 應該知道,除了二硼烷氣體,還可以使用其他摻質前 驅物來向ALD形成的薄膜中引入期望的摻質原子。用於 引入例如蝴(Β)、砷(As)、磷(Ρ)、銦(ιη)以及銻(Sb)等摻質 原子的適當摻質前驅物可以包括但不侷限於以下化合物: 鹵化物(例如,BF3)、醇化物(例如,B(〇CH3)3)、烷基(例如,
U
In(CH3)3)、氫化物(例如,AsH3、pH;)、環戊二烯基 '烷亞 醯胺(alkylimide)、烧醯胺(alkylamide)(例如,p[N(c 以及脒基(amidinate) 〇 U進—步,臨場雜技術不限於電漿強化的ald製程,
㈣ΐ過^LD賴程來沈積含摻f的單層。這種臨場摻 L = f要使用介穩態原子。例如,熱(thefmal>A;LDI 二二!T成含摻f的單層。實際上,這種臨場推雜概 製程’其中利用沈積含摻質之單層的 /人絲取代沈積要摻雜之薄膜單層的一或多 200834677 次沈積循環,或者其中要掺雜的薄膜可實質上與含換質白勺 單層同時沈積。 ' 圖3顯示了根據本發明一實施例的進行原子層沈積白勺 系統300的方塊示意圖。 ' • 糸統3〇〇可包括處理室302 ’其通常能夠利用例如朽 輪泵(turbo pump)306、機械泵(mechanical pump)308 以及其 他必要的真空密封元件而達到高真空基礎壓力(例如, 10义ΚΓ6 torr) 〇在處理室302中,設置用以保持至少一基 ^ 板30的基板平臺(platf〇rm)310。基板平臺310裝配有一戍 多個溫度管理裝置,以調節且維持基板30的溫度。還可以 進行基板平臺30的傾斜或旋轉。處理室302更裝配有一或 多個膜成長監測裝置,例如石英晶體微量天平(micr〇 balance)和/或反射式高能電子繞射(ref|ecti〇n吨h electron diffraction,RHEED)儀器。 、系統300還包括電漿室304,其耦接到處理室302上 或者作為處理室302的一部份。射頻(radi〇 frequency,RF) I) 動力源(Power supply)312可用於在電漿室3〇4内產生感應 耦合式電漿32。例如,以正常壓力供應的氦氣體可藉^ RF動力激發來產生氦電漿,氦電漿轉而產生氦介穩態原 子。 - _系統3㈨更包括一定數量的氣體供應裝置,例如二矽 燒么、應裝置314、二棚烧供應裝置316、氬供應裝置318 以及氦供應裝置320。各氣體供應裝置可包括流量控制閥 5 乂如所希望地設定各流速。此外,氣體可藉由例如閥門、 15 200834677 二U J 7 Upil 體積固定的小室以及第二閥門等元件的連接系列而配量 (meter)到系統内。首先可藉由打開第一閥門將小室填充到 期望的壓力。在第一閥門關閉後,藉由打開第二閥門將固 定體積的氣體釋放到處理室中。二矽烷供應裝置314以及 二卿烧供應裝置316經由第一入口 322而耗接到處理室 302,並供應足夠數量的相應矽和硼前驅物氣體來飽和基板 30。氬供應裝置318和氦供應裝置320經第二入口 324 _ 接到電漿室304。氬供應裝置318提供氬(或其他惰性氣體) 以清洗系統300。氦供應裝置320供應氦氣體以進行氣介 穩悲原子的電漿產生。此外’在電漿室304和處理室3Q2 之間可設置屏或擋板裝置326。屏或擋板裝置326(加偏壓 或未加偏壓)可用於防止電漿室304内產生的至少一部份 帶電粒子到達基板30。 乃 圖4顯示了根據本發明一實施例的原子層沈積方法的 流程圖。 ' l 在步驟402中,將沈積系統(例如圖3所示的系統)抽 氣到高真空(HV)狀態。此真空條件可以採用目前習知或者 以後開發的任何真空技術達成。真空設備可包括例如機械 泵、、渦輪泵以及低溫泵(cryo pump)中的一種或多種。真空 4準較it為至少為l〇7_l〇-6t〇rr’儘管將真空位準維持在其 他壓力也落在本發明的範圍内。例如,如果期望較高的膜 、、、屯度,則需要更高的基礎真空(base 膜,則較低的真空是可接受的。 t、低、、、屯度 在步驟404中,將基板預加熱到期望的溫度。可基於 16 200834677 ^ojyspir 基板類型、ALD反應物種、期望的成長速率等來確定基板 溫度。 尸在步驟4〇6中’石夕前驅物氣體,例如二石夕烧(以及其載 氣’如果有)可流入基板所位於的處理室中。以足以飽和基 板表面的流速或壓力來供應石夕前驅物氣體。二魏的流動 可持績例如歸或者高魏诗。二 者完全地覆蓋基板表面。 平曰丨切次 Ο t 408中,在表面飽和後1前驅_閉並且利 Li 雜氣料清献⑽統叫除過量的石夕前 室流^電漿打開。也就是,氦氣體從電漿 氦。乱電水可以是感應_合式電漿(ICP)或者向 衆==二==生氦介穩態原子的其他電 ^ _ 心種處理至内的基板暴露於氦介穩熊原 非矽;匕二:與吸附於其上的矽前驅物進行反應以脫附 移二:子對:二:燒單層’氦介穩態原子有助於 A原子以形成期望的矽單声。其化主 | # 於介=原子可持續例如幾秒或者高“ 在步驟412中,氦雷带關问# 氣體再次清洗沈積系統/ 且利用一種或多種惰性 果希且=是,望對_行摻雜。如 移到步驟4 、、’ ^ 入摻質的適當時間,製程可轉 ;=二否則,製程可循環到步驟_以= 早層的石夕和/或結束沈積部份單層的石夕。開尤積 200834677 ZOjydpii 在步驟416中,例如二硼烷的摻質前驅物氣體(及其載 氣,如果有)流入處理室。以足以飽和基板表面的流速或壓 力來供應#質前驅物氣體。二硼烷流可以持續例如幾秒或 者兩達幾十秒。二删烷的單層部份地或者全部地覆蓋基板 表面。 在步驟418中,在表面飽和之後,摻質前驅物關閉並 且利用一種或多種惰性氣體來清洗沈積系統,以移除過量 的摻質前驅物。
c, 在步驟420,氦電漿打開以產生氦介穩態原子。處理 室内的基板再絲露於氦介鶴原子,使得氦介穩態原子 可以與吸附於其上的摻質前驅物反應,以顏非接質原 t。勤,對於"職單層,氦介穩_子有助於移除過 里的氫原子以形成所期望的部份或者全部硼單層。基板表 面暴露於介穩態原子可制例如幾秒或者高達幾十秒。 在步驟422中,錢漿關閉並且可湘—種或多種惰 性氣體再次清洗沈積系統。 可重複上述製程步驟406至412和/或製程步驟416至 422,直到獲得具有-或多個單層的期望的㈣ 有期望的摻質曲線。 應,理解,儘管上述實例僅描述了石夕膜的沈積㈣或推 雜,但本發明的實施例還可適用於沈積或者並 勿種的薄膜。例如,還可以沈積或者摻雜^含町物^ 的ALD薄膜.鍺(Ge)、碳(〇、鎵(Ga)、砷 華)或者侧。形成的薄膜可以包括單個物種,例= 200834677 2639^pir 或鍺,或者化合物,例如冚_v族化合物(例如,GaAs、 ΙηΑΙΡ)。為此,可利用包含對應物種的前驅物質。前驅物 質的候選(candidates)包括但不侷限於:氫化物(例如si^、 Si2H6、GeH)或鹵代氫化物(例如腿⑸、鹵代石炭氣化合物 - (例如CHF3)、烷基(例如三甲基鋁_A1(CH3)3或二甲基乙基 • lCH3CH2-Al(CH3)2)或龜化物(例如 ccl4 或者 。 根據本發明的實施例,上述ALD以及臨場摻雜技術 彳用於許多半導體製程中。特別是,在低溫度製程優於高 ’ 溫度製程時上述ALD以及臨場摻雜技術是有利的。應變 工程以及臨場滲氮是兩種示範性的應用。 一 ^著半導體裝置的特徵尺寸(feature size)縮短到90奈 米以下,僅進行縮放不再能夠產生期望的元件性能。應變 工程(strain engineering)是解決縮放限制的理想方法,其中 引入具有尚應力的薄膜(例如,氧化物、氮化物、矽或矽鍺) 以利用與應變結晶晶格(恤丨福巧伽胞^ h出⑻相關的 改良載子遷移率的優點。例如,在金屬氧化物半導體場效 c 電晶體(metal-〇xide_semic〇nductor field_effect trans MOSFET)的矽通道局部地(單轴地)或者全部地引入應變, 來改良MOSFE 丁性能。目前,採用高溫選擇性羞晶成長技 • 術來產生應變薄膜,例如具有p型摻質(例如,硼)或者n • 型摻質(例如,砷以及磷)的臨場摻雜矽。此外,可將鍺混 合到與矽結合的上述摻質中,以達成應變工程。在某些情 ,下,在沒有摻質的情況下僅沈積矽鍺(§沿旬。然而,與 習知應變工程製程相關的高溫使得它們難以適用於許多應 19 200834677 用 根據本發明的實施例,上述介穩態強化的ALD技術 是應變工程製程(例如上文提到的製程)的有利的代秩= 案。可在低溫下精確地沈積摻雜或非摻雜矽、siGe或4苴 它應變薄膜。應變ALD薄膜中的應力大小由許參數控 制。例如,在應變SiGe膜的沈積中,可控制 如,相較於矽的數量)以及沈積溫度來達成期望的應力大 Ο 1。根據-實關,藉由输其分職露抑前驅ς和錯 則驅物(例如,循環的次數)而達成期望的3泊£膜 外’ ALD薄膜中的換質(例如’碳)的數量對其 度的二次效應(S_㈣游時較倾度的 ^用ΪΓΐ 或沈積製程中的摻質擴散較小。此外, 乂度的沈積’由於應變鬆弛(― 小,Γ對於相同的錯數量可獲得更大的應變。 c 個沈浐循ί所if的,介穩態強化的ALD製程可包括多 著咖環包括將基板暴露於前驅物,接 ALD *路於介穩態原子。重複相同或者不 確地控制應=的^^ 礎進行變化。例如^應力大小’製程參數可以循環為基 露於第-類型的ALD沈積循環中,基板表面暴
沈麵環中,基二1 (例如,矽前驅物),而在另-ALD 前驅物)。對於"'另一每暴露於第二類型的前驅物(例如,鍺 摻質的數量或類列’在不同ALD沈積循環中引入的 g不同。根據-實施例,在同- ALD沈 20 200834677 zo^yepii 積循環中引入摻質混合物。 對於臨場滲氮,目前採用高溫(>650。〇低壓化學蒸氣 沈積(LPCVD)製程來由二氯f矽烷(SiH2Cl2)以及氨(顺3) 的混合物來沈積順應性氮化矽⑸3队)膜。此外,交替地暴 藤於一氯甲砍院(Sij^Cl2)和氨(NH3)的ALD製程在650cC 以上的溫度下進行。包含矽、氮以及碳的前驅物已用於氮 化物膜的沈積。然而,氮化物膜中的碳含量隨著沈積溫度 降到600°C以下而急劇地增加,同時電屬性也相應地降低 (,如^形成滲漏膜),這種現象指定65〇+t的高溫進行臨 場滲氮製程。隨著半導體裝置製程的熱預算的降低,對於 間隔層以及襯層應用需要低溫順應性_4膜的沈積。此 =’較高應力的Si3N4膜是所希望的,以便作為應變工程 朿略的-部份來增加M〇SFET中的閘疊層中的總應力。
L ,據本發明的實施例’可使用介穩態強化的⑽技 ^由下沈積秘4薄膜結構(例如’間隔層)。由於 製程可《在低於鐵的溫度下達成順應tii 兩f ^獨前媒物進行沈積或者利用包含 吸附且’引入介穩態來從 ^成Sl3N4膜。除了膜的順應 法的附加優點SiN 低沈積/皿度,运種方 最小。 °到_4膜内的接質(例如,氯以及礙) 21 200834677 内。奋^並不侷限於本文所描述的特定實施例的範圍 y、f = Γ上’除了本文所描述的那些實施例外,透過上述 诚關’本發明的其他各種實施例以及修改對本領 二藝者是誠的。因而,上述其他實施例和修 改思圖洛在本發明的範圍内
St境:以特定實例為背二^ 技且i蘇日日〜M技蟄者應意識到其有用性並不侷限於此, Ο ο 因此,在許多環境下出於許多目的有益地實施。 歷下本文所描述的本發明的整個範圍以及精神來 解釋下文所闡述的申請專利範圍。 铜申來 【圖式簡單說明】 更全面地理解本發明,現在參照附圖,复中 =ΓΙ=似的標號表示。這些關不應解釋為限二 本發明,而傾向於僅是示範性的。 勺限制 方塊:ί顯示根據本發明—實施例的原子層沈積循環的 方塊:i顯示根據本發明—實施例的原子層沈積猶環的 的方示根據本發明—實施例的原子層沈積的系統 的流=是顯示根據本發明—實施_原子層沈積的方法 【主要元件符號說明】 】〇 ·飽和階段 22 200834677 100 :原子層沈積循環 102 :基板 104 :二矽烷單層 106 :矽單層 12 :脫附階段 20 :飽和階段 200 :原子層沈積循環 204 :二硼烷單層 Π 206 :硼單層 22:脫附階段 30 :基板 300 :原子層沈積的系統 302 ··處理室 304 :電漿室 306 :渦輪泵 308 :機械泵 310 :基板平臺 ϋ 312 :射頻動力源 314 :二矽烷供應裝置 316 :二硼烷供應裝置 一 318:氬供應裝置 _ 32 :感應耦合式電漿 320 :氦供應裝置 322 :第一入口 324 ··第二入口 23 200834677 326 :屏或擋板裝置 402〜422 ··步驟 L· 24

Claims (1)

  1. 200834677 ΖΟ^^δρίΙ 十、申請專利範圍: 所述方法包括以下步 1· 一種應變薄膜的形成方法 向 …,基板表面f應一種或多種前驅物質,所述前驅物 I具有至少-弟-物種的原子以及至少_第二_ 子,藉此在所述基板表面上形成_層所述前, '、 驅物質,以及 Γ) „表面恭露於電襞產生之—第三物種的介穩 ,原^中所述介穩態原子從所述基板表面脫 至 第二物種的原子’以形成所述至少-第-物種的-原 十層; t由控制從以下參數所構成的族群中選擇的一種 或多種减,來達成所述至少—第—物種⑽述原子層内 的期望的應力大小:沈積溫度、所述至少—第—物種的所 迷原子層的成分、所述至少—第—物種的所述原子層内的 徐質數量以及與所述第三物種的所述介穩態原子相關聯的 通量或能量。 ο 2.如申請專利範圍第1項所述之應變薄臈的形成方 法,更包括· 向所述,板表面供應一種或多種摻質前驅物,以摻雜 所述至少一第一物種的所述原子層。 3·如申請專利範圍第2項所述之應變薄膜的形成方 去,其中將兩種或更多種摻質的一混合物同時或者依序地 弓丨入所述至少一第一物種的所述原子層内。 4·如申請專利範圍第1項所述之應變薄膜的形成方 25 200834677 ZOJ^bpiI 法,其中: 所述至少一第一物種的所述原子層包括梦及鍺;以及‘ ^所述期望的應力大小至少部份是藉由控制所述至少一 第一物種的所述原子層内的鍺的數量來達成。 5·如申請專利範圍第4項所述之應變薄膜的形成方 法,更包括: Ο 藉由控制引入所述至少一第一物種的所述原子層内的 碳的數量,來調整所述期望的應力大小。 、6·如申請專利範圍第〗項所述之應變薄膜的形成方 C/* 重複其中所述的步驟而為多個沈積循環,直到所述至 少一第一物種的所述原子層達到期望的厚度。 7·如申請專利範圍第6項所述之應變薄膜的形成方 法,其中至少一沈積循環包括·· 向所述基板表面供應一第一前驅物; 將所述基板表面暴露於一第一選定物種的介穩態原 子 子 法 子 向所述基板表面供應一第二前驅物;以及 將所述基板表面暴露於一第二選定物強从人 、疋初種的介穩態原 8·如申請專利範圍第6項所述之應變薄 其中至少一沈積循環包括: '々幵> 成方 將所述基板表面暴露於一第一選定物種的介穩態原 26 200834677 向所述基板表面供應一第一前驅物; 將所述基板表面恭路於一弟一選定物種的介穩態原 子; 一’、 向所述基板表面供應一第二前驅物;以及 . 將所述基板表面暴露於一第三選定物種的介穩能、原 • 子; 一’、 其中所述第一選定物種'所述第二選定物種以及所述 第三選定物種是相同或者不同類型的。 f 9·如申请專利範圍第6項所述之應變薄膜的來成方 法,其中於所有的所述沈積循環中之所述一種或多種前驅 物質是不同的。 10·如申請專利範圍第9項所述之應變薄膜的形成方 法,更包括: 向所述基板表面供應一石夕前驅物; 將所述基板表面暴露於一第一選定物種的介穩維原 子; ^ 向所述基板表面供應一鍺前驅物; 將所述基板表面暴露於一第二選定物種的介穩態原 子,其中所述第一選定物種和所述第二選定物種是相同類 型或者不同類型的;以及 重複上述步驟’直到在所述基板表面上形成具有期望 ' 的應力大小以及期望厚度的一矽鍺膜。 11·如申請專利範圍第9項所述之應變薄膜的形成方 法,更包括: 27 200834677 向所述基板表面同時供應一矽前驅物和一鍺前驅物; 將所述基板表面暴露於一選定物種的介穩態原子;以 及 重複上述步驟,直到在所述基板表面上形成具有期望 . 的應力大小以及期望厚度的一矽鍺膜。 12·如申請專利範圍第1項所述之應變薄膜的形成方 法,其中所述一種或多種前驅物質包括從以下物種所構成 的族群中間選擇的一種或多種物種:石夕、石炭、鍺、鎵、珅、 〇 銦、鋁以及構。 13·如申請專利範圍第1項所述之應變薄膜的形成方 法,其中所述基板表面包括從以下材質所構成的族群中選 擇的一種或多種材質··矽、絕緣層上覆矽(s〇I)、二氧化 矽、鑽石、矽鍺、碳化矽、ΙΠ_ν族化合物、平板材質、聚 合物以及可挽性基板材質。 14·如申請專利範圍第1項所述之應變薄膜的形成方 法,其中所述至少-第三物種包括從以下物種所構成的族 群中選擇的一種或多種物種··氦(He)、氖(Ne)、氬(Ar)、氪 (Kr)、氡(Rn)以及氤(Xe)。 15· -種氮化石夕膜的形成方法,所述方法包括以下牛 驟: y 向一基板表面供應具有矽原子和氮原子的一種或多種 前驅物質,藉此在所述基板表面上形成一層所述一 種前驅物質;以及 夕 將所述基板表面暴露於電漿產生之一第三物種的介穩 28 200834677 態原子,其中所述介穩態原子從所述一種或多種前驅物質 的層中脫附過量的石夕原子和氮原子,以形成氮化石夕的一原 子層。 、 16·如申請專利範圍第15項所述之氮化矽膜的形成方 法,更包括: 夕 重複其中的所述步驟而為多個沈積循環,直到達成期 望厚度的氮化石夕。 Ο Ο 17·如申請專利範圍第15項所述之氮化矽膜的形成方 法,其中所述矽原子和氮原子在其各自的前驅物質中供應 至所述基板表面。 * 18·如申請專利範圍第15項所述之氮化矽膜的形成方 法,其中所述矽原子和氮原子在一種前驅物質中供應至所 述基板表面。 、19.如申請專職圍第15項所述之氮切膜的形成方 法,其中所述至少-第三物種包括從以下物種所構成的族 群中選擇的一種或多種物種:氦(He)、氖(Ne)、 (Kr)、氡(Rn)以及氣(Xe)。 ) 20.如申請專利範圍第15項所述之氮化石夕膜的形成方 法’其中所述基板表面包括從以下物種所構成的族群中選 擇的一種或多種材f : %、絕緣層上覆發_)、二氧化 石夕、鑽石、石夕錯、碳化石夕、m_v族化合物、平板村質、聚 合物以及f撓性基板材質。 、 21.如申請麵範圍第15項所述之氮化石夕膜的形成方 法,其中所述基板表面保持在低於900〇c的溫度下。 29 200834677 驟:及-種氮切膜的形成方法,所述方法包括以下步 向一基板表面供應具有矽原子的— :質藉:=所述基板表面上形成-層所迷媒 Ο 的介=種=:::露於電漿產生之氮 法,2更3=:_咖第22韻述之氮化㈣的形成方 重複其中所述步驟而為多個沈積循環, 厚度的氮化矽。 』廷風J主 24·如申請專利範圍第22項所述之氮化秒膜 二面t括從以下物種所構成的族群中選 擇的一種或夕種材質.矽、絕緣層上覆矽(so二# 石夕、鑽石、石夕鍺、碳化石夕、ΠΙ_ν族化合物、平乳取 合物以及可撓性基板材質。 來 L 30
TW096146560A 2006-12-08 2007-12-06 Method for forming a strained thin film and method for forming a silicon nitride film TW200834677A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/608,522 US20070087581A1 (en) 2005-09-09 2006-12-08 Technique for atomic layer deposition

Publications (1)

Publication Number Publication Date
TW200834677A true TW200834677A (en) 2008-08-16

Family

ID=39402771

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096146560A TW200834677A (en) 2006-12-08 2007-12-06 Method for forming a strained thin film and method for forming a silicon nitride film

Country Status (6)

Country Link
US (1) US20070087581A1 (zh)
JP (1) JP2010512646A (zh)
KR (1) KR20090085695A (zh)
CN (1) CN101631894A (zh)
TW (1) TW200834677A (zh)
WO (1) WO2008073750A2 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8163341B2 (en) 2008-11-19 2012-04-24 Micron Technology, Inc. Methods of forming metal-containing structures, and methods of forming germanium-containing structures
CN102154624A (zh) * 2010-02-11 2011-08-17 财团法人交大思源基金会 反应器、化学气相沉积反应器以及有机金属化学气相沉积反应器
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
CN102304696B (zh) * 2011-09-23 2013-07-03 中国科学院微电子研究所 一种金刚石的制备方法
CN102304701A (zh) * 2011-09-26 2012-01-04 中国科学院微电子研究所 一种碳化硅薄膜的制备方法
JP5926794B2 (ja) * 2012-04-23 2016-05-25 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
US9870925B1 (en) * 2012-08-15 2018-01-16 Anatoly Feygenson Quantum doping method and use in fabrication of nanoscale electronic devices
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP2014192485A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
CN103280400B (zh) * 2013-05-09 2019-02-05 上海集成电路研发中心有限公司 一种高压应力氮化硅薄膜的制备方法
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
KR20150048259A (ko) * 2013-10-23 2015-05-07 한국화학연구원 단원자 증착법을 이용한 복합 및 비대칭적인 복합박막 및 이의 제조방법
CN104746046A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 原子层沉积设备
US10644116B2 (en) * 2014-02-06 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ straining epitaxial process
CN104046957B (zh) * 2014-06-06 2016-08-03 华中科技大学 一种三氢化铝表面包覆改性方法
KR101576639B1 (ko) * 2014-09-18 2015-12-10 주식회사 유진테크 절연막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US20170213960A1 (en) * 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
US20170237001A1 (en) * 2016-02-17 2017-08-17 Arm Ltd. Fabrication of correlated electron material devices comprising nitrogen
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR101991456B1 (ko) * 2016-03-28 2019-06-21 한국화학연구원 단원자 증착법을 이용한 복합 및 비대칭적인 복합박막 및 이의 제조방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180080124A1 (en) * 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN109216154A (zh) * 2017-07-03 2019-01-15 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6637095B2 (ja) * 2018-03-22 2020-01-29 プラサド ナーハー ガジル セラミック薄膜の低温堆積方法
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
CN111883543B (zh) * 2020-07-28 2022-09-27 北海惠科光电技术有限公司 阵列基板的制作方法、阵列基板和显示装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
EP1265279B1 (en) * 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
GB2375614B (en) * 2000-04-06 2003-07-16 Bookham Technology Plc Optical modulator with pre-determined frequency chirp
US6745717B2 (en) * 2000-06-22 2004-06-08 Arizona Board Of Regents Method and apparatus for preparing nitride semiconductor surfaces
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
KR100425579B1 (ko) * 2001-07-21 2004-04-03 한국전자통신연구원 게르마늄 조성비에 따라 다른 종류의 소스를 사용하는실리콘 게르마늄 박막 형성 방법
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6616525B1 (en) * 2002-04-29 2003-09-09 Hewlett-Packard Development Company, L.P. Modular fan system
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6844904B2 (en) * 2002-12-07 2005-01-18 Cubic Corporation Fast PDLC device
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
WO2006087893A1 (ja) * 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 基板処理方法および基板処理装置
KR100652427B1 (ko) * 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition

Also Published As

Publication number Publication date
CN101631894A (zh) 2010-01-20
WO2008073750A2 (en) 2008-06-19
WO2008073750A3 (en) 2009-03-19
JP2010512646A (ja) 2010-04-22
US20070087581A1 (en) 2007-04-19
KR20090085695A (ko) 2009-08-07

Similar Documents

Publication Publication Date Title
TW200834677A (en) Method for forming a strained thin film and method for forming a silicon nitride film
US20070065576A1 (en) Technique for atomic layer deposition
WO2008108754A1 (en) Technique for atomic layer deposition
US6743738B2 (en) Dopant precursors and processes
US8076250B1 (en) PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
TWI479044B (zh) 硼膜界面工程
KR20210062561A (ko) 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JPWO2005071723A1 (ja) 半導体装置の製造方法および基板処理装置
TWI375260B (en) Plasma immersed ion implantation process
TW201125041A (en) High mobility monolithic p-i-n diodes
US6313017B1 (en) Plasma enhanced CVD process for rapidly growing semiconductor films
TW201246287A (en) Epitaxy of high tensile silicon alloy for tensile strain applications
CN110476222A (zh) 用于硅间隙填充的两步工艺
JP6947863B2 (ja) 薄膜の形成方法
KR102114857B1 (ko) 상압 플라즈마를 이용한 선택적 박막 형성 방법
CN113348532A (zh) 金属硅化物的选择性沉积和选择性氧化物移除
US20220076996A1 (en) Methods for depositing gap filing fluids and related systems and devices
US20220178023A1 (en) Method of forming a structure including silicon-carbon material, structure formed using the method, and system for forming the structure
US20220375747A1 (en) Flowable CVD Film Defect Reduction
WO2000044038A1 (en) Plasma enhanced cvd process for rapidly growing semiconductor films
TW200837212A (en) Technique for atomic layer deposition
Sprenger Electron Enhanced Atomic Layer Deposition (EE-ALD) for Room Temperature Growth of Gallium Nitride, Silicon, and Boron Nitride Films
Hilleringmann Deposition Process
JP2000058460A (ja) シリコン薄膜製造方法
JPH1154443A (ja) N型ダイアモンド半導体の製造方法