TW200537644A - Deposition method and semiconductor device - Google Patents

Deposition method and semiconductor device Download PDF

Info

Publication number
TW200537644A
TW200537644A TW094109544A TW94109544A TW200537644A TW 200537644 A TW200537644 A TW 200537644A TW 094109544 A TW094109544 A TW 094109544A TW 94109544 A TW94109544 A TW 94109544A TW 200537644 A TW200537644 A TW 200537644A
Authority
TW
Taiwan
Prior art keywords
insulating layer
layer
chs
deposition
gas
Prior art date
Application number
TW094109544A
Other languages
English (en)
Inventor
Yoshimi Shioya
Haruo Shimoda
Kazuo Maeda
Original Assignee
Semiconductor Process Lab Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Process Lab Co filed Critical Semiconductor Process Lab Co
Publication of TW200537644A publication Critical patent/TW200537644A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200537644 九、發明說明: 【發明所屬之技術領域】 本發明係有關於一種積方 ,關於—種種^方法及切體裝置,特別有 .、h成;丨電常數絕緣層以覆蓋以銅全 分之線路上,以另 生屬層為主成 及具有絕緣層之半導體裝置。 【先前技術】 近年來業界對於高積集度以及高密度 路裝置之高資料& 度之體積體電 士…〜 速度以及低電阻電容值之多声全屬沾 構層的需求持蜻 夕增金屬結 之銅金屬形成飧放 。得主要係以低電阻 常數絕緣層)絕以低介電常數(在此通稱為低介電 為主要絕緣==為阻障'絕緣層來覆蓋線路及/或作 本增;復盍阻障絕緣層。 般形成低介電常數絕緣層之沉積方 及電漿增進化學5如 符疋土凌以 匕予軋相沉積法(PECVD)。儘管利用PECVD沉積 之κ曰%^旋塗法所沉積之絕緣層以 PECVD沉積之锅終庶θ ^ 币数入1一 u 旦 、、、味㈢具有較面之機械強度及較低之含水 罝。因此已有大蔷4丨 頁大里利用PECVD方式沉積絕緣層的研究與發 展0 ^ 3及其類似案中已揭露低介電常數絕緣 、、士 、/ ’例如利用PECVD形成阻障絕緣層以及於阻 障絕緣層上形成±西 〜戍主要絕緣層。 專利案1係日本早期公開案第20 02-1 64346號; 專利案2係日本早期公開案第2002-252228號; 專利案3係日本早期公開案第2002-164429號;
2060-6979-PF 200537644 然而近幾年隨著對合 案化導線之^ 、1"驅動頻率與資料傳輸速以及圖 禾儿夺積細化的 之層間介電絕緣層。^ :、目此同樣需要低介電常數 •化導線為65奈来或更低驅動頻率超過千兆赫以及圖案 .中又以2.以更低者奸電常數需為U或更低’其 絕緣層的介電常數降得土此業界亟需將覆蓋銅之阻障 之功能。此外,並使其同時具有避免銅擴散 強度。 μ S除了介電常數要低,亦需具有高機械 【發明内容】 有鑑於上述問顯,士 认& ^ mm ^ 、 X明的目的在提供一種沉積方法 以及一半導體裝置,以 ^钱械強度、含水量改善以及低 ”電常數之阻障絕緣層 要絶緣層,特別是有關防止 銅擴散功能以及更進一 +敗 乂争低;I電#數之阻障絕緣層。 根據發明人經驗,ri人A > ^ 以含矽有機化合物或含矽有機化合 物以及氧化氣體為主成合”接γ Μ /儿積氣體的方法中,將該氣體轉 換成電衆以沉積低介電當數 _ 电吊數繞緣層時,當僅利用含甲基或 甲氧基之環狀石夕氧燒為含石夕右 3夕有機化合物來進行沉積,會產 生白色混濁、低介電常數以及高含水率之低介電常數絕緣 曰另方面右將鏈狀石夕氧燒或含甲基或甲氧基之有機 石夕烧加入含甲基或甲氧基之環狀石夕氧炫來進行沉積,則所 f生之絕緣層介電常數雖高於未加入的但不會產生具白色 混濁。因此,藉由調整加 q金加入%狀矽虱烷之鏈狀矽氧烷或有 機石夕氧院的流量’可形成機械強度以及含水率改良且仍維 持低介電常數之絕緣層。此外,該絕緣層亦具有避免銅擴
2060-6979-PF 6 200537644 散之功能。特別是,利用含甲 有效地增加機械強度。 羊土之矽氧烷或有機矽烷可 本赉明係利用電漿增進風5 環狀石夕氧燒之混合氣體為 ^氣相沉積法(PECVD)並以 具有至少—甲基或甲 、乳肢,其中該混合氣體包含 甲基或甲氧取,以及具有至少- 發明亦可利用具有至少一甲Α ^成刀乳體。此外,本 石夕氧燒混合氣體為沉積氣體:且心:有她之環狀 甲基、甲氧基為主成分氣體並以==:具…-來進行沉機。另外,本發明更 :進化予氧相沉積法 例如:將水加入混合氣體。肖乳化氣體為沉積氣體, 綜上所述可避免形成白色混濁之絕緣層、維持高 :以及改善該層之含水量並將其介電常數降低至2^ 更低。此外’該絕緣層更具有避免銅擴散之功能。一 其、於此實施例中,加入曱醇或乙醇於上述混合氣體(甲 土乙基及其類似物)於該層中以進一步降低其介電常數, 且利用經基之氧化來增進該層之架橋反應以進—步增進兮
層之機械強度。 θ V 此外,藉由加入惰性氣體以稀釋上述沉積^體,可抑 制沉積氣體快速反應與汽化。藉由上述可降低所形成介電 層之機械應力以及附著強度並降低微粒產生。值得注意的 是,本發明以加入適量之惰性氣體較佳,若加入過量則會 增加介電值。 胃 於此實施例中,該沉積方法可應用於半導體裝置,例 2060-6979_PF 7 200537644 如:銅金屬連線及其類似之製程。而具有上述特性之絕緣 層可應用於覆蓋銅金屬連線及其類似結構上之阻障絕緣 層,或做為覆蓋於銅金屬連線及其類似結構之阻障絕緣層 上之主要、吧%層。上述所形成之絕緣層或阻障絕緣層以及 主要絕緣層可用以建構金屬層間絕緣層或埋藏式金屬絕緣 層,因此可增進半導體裝置之高速功能。 承 為讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂,下文特舉出較佳實施例,並配合所 •細說明如下: '彳乍β 【實施方式】 第1圖係根據本發明實施例之平行板式電漿沉積裝置 1 01結構側視圖。 平打板式電漿增進化學氣相沉積裝置101係由一沉積 部分101Α以及一沉積氣體供應部分1〇1Β組成,其中沉積 部分101Β係一藉由電漿氣體以沉積絕緣層於基板上之位 置,而沉積氣體供應部分1G1B具有複數種氣體供應源以板 成沉積氣體。 本實施例之沉積部分101A如第〗圖所述包括一反應室 1以降低麼力,其、經由一排氣f4連接至一排氣單元6。一 開/關閥5以控制反應室i以及排氣單元6之間排氣管4的 流通與否。反應室!壓力量側法例如利用一真空儀(未顯示) 以監測反應室1内的壓力。 反應室1具有一對比側相對的上電極(第一電極)2以 及下電極(第二電極)3。一接供 电位W 杈仏13·56Μζ之高頻電源供應 2060-6979-PF 8 200537644
源(無線電射頻雷源· P 項电源,RF pOWer s〇urce) 7連 而提供380kHz夕你相兩、 上包極2
- '電源供應源(無線電射頻電. power sourced R ^ ^ ^ J "貝电源,RP 連接至下電極3。電源供應 供應電源至上F雷搞9 q (δ分別 電桎2、3猎以使沉積氣體轉換 :此係利用上、下電極2、3與電源供應源7、8、:建= 生之工具來將沉積氣體轉換成電漿。上電極2係〜 >儿積氣體分配器,而對岸 “ 叩对應之下電極表面之通孔開口係沉藉 氣體放電部份(傳入部)。^ ^ ^ ^ ^ ^ 、 婉由总綠0咕 儿積軋體之放電部分及其類似部
'工B 3連接至沉積氣體供應部101B。另外,上雷朽D 可視,設置-加熱器(未顯示)。該加熱器可於沉積I' …上电極2至loo —2〇Gt:以免沉積氣體之反應物及其類似 物形成微粒附著於上電極2上。 、 下電極3可視為一支撐台以使基板21進行沉積,該下 電極3具有一加熱器12以於基板在支撐台上沉積時進‘加 熱。 沉積氣體供應部101B具有一環狀石夕氧烷供應源(第一 切有機化合物),其具有至少―甲基或甲氧基;—鍵狀石夕 氧烷供應源(第二含矽有機化合物),其具有至少一甲基或 甲氧基;-有機石夕烧供應源,其中至少—甲基或甲氧^與 矽鍵結;一氧化氣體供應源其係擇自於由水、氧、一=^匕 二氮或二氧化碳所組成之族群之一形成;一醇類供應源, 例如:甲醇及乙醇;一稀釋氣體供應源以及氮氣供應源。 經由分支管線(9b-9h)將該些氣體加入第一反應室11 而該些分支管線皆與管線9a連接。利用流速控制裝置 2060-6979-PF 9 200537644 (lla-lib)以及開/關裝置(1〇b_1〇g)控制分支管線(gb —处) 之流通與否,其係設置於分支管線(9b —9h)中間處,而開/ 關官線9a之開關裝置1 〇a則係設置於管線9a中間處。 此外更可藉由氮氣循環來清洗分支管線(9b-9h)中的 殘餘氣體,開/關裝置(10b-10g)控制連接至氮氣供應源及 其他分支管線(9b-9g)之間的分支管線9h流通與否。值得 注意的是,氮氣不僅可清洗分支管線(9b — 9h)中之殘餘氣體 亦可清洗管線9a以及反應室1中之殘餘氣體。此外,氮氣 _亦可做為稀釋氣體。 根據上述之沉積裝置101,包括環狀矽氧烷氣體供應 源、鏈狀矽氧烷供應源、有機矽烷供應源、氧化氣體供應 源、%類供應源以及稀釋氣體供應源,並包括電漿產生果 置(2、3、7、8 )以將沉積氣體轉換成電漿。
藉由此結構裝置,可形成阻障絕緣層或低介電常數絕 緣層,以改善機械強度、吸水率並在可預防銅擴散之條件 下將介電常數降至2. 6或更低,如上述實例。 接著,藉由平行電板形式之上、下電極2、3產生電漿 (例如:-電漿裝置)。上、下電極2、3各自連接電源供應 源7、8以各別提供高、低頻兩種電源至上、下電極23。 因此,電源具高低兩種頻率並各自提供至電極(2、3)以產 生電漿。利用低頻電能所形成之絕緣層較為緻密而利用高 頻電能所形成之絕緣層的介電常數較低。 ’其包括具有環狀矽 含矽有機化合物、具 接著,下述氣體係本發明實施例 氧鍵以及至少一曱基或甲氧基之第一 2060-6979-PF 10 200537644 有鏈狀石夕氧鍵以及至少_ ^ 甲土或甲虱基之第二含矽有機化 合物、具有至少一 ^ τ基或甲乳基之有機矽烷、氧化氣體、 醇類、以及稀釋氣體。 (1)具有環狀矽氧鍵以及至少一甲基或甲氧基之第一 含石夕有機化合物,例如·· 八甲基娘四矽氧烷 octamethylcyclotetrasi l〇xane (OMCTS: ((〇H3)2)4Si4〇4)); 〒 ch3 CHs-Si-O-Si-CHs 〇 0
I CHs-Si- 〇 -Si-CHc CH3 CHb 四甲基環四石夕氧烧 tetramethylcyclotetrasiloxane (TMCTS: ((CH3H)4Si4〇4));以及
Η H
I I CH^-Si- 0 -Si-CHs • 0 0
I I CH3 — Si — 〇一Si—CH3
I I
Η H 四曱氧基四甲基環四矽氧 tetramethoxytetramethyIcyclotetrasiloxane (TMTMCTS: ((OCH3)(CH3))4Si4〇4)。 2060-6979-PF 11 200537644
OCHs OCHs I I CHs— Si — 0 — Si — CHs 0 0
Chk — Si — O — Si — CHs I I OCH^ OCHs (11)具有鍵狀石夕氧鍵以及至少一甲基或甲氧基之第 二含碎有機化合物,例如: ,、曱基一石夕氧垸 hexamethyldisiloxane (HMDS0: w (CH3)3Si-〇-Si(CH3)3);
ChU CH3
I I CHa- Si— O — Si-CH3
t I CHa CHs 一曱氧基四甲基二 dimethoxytetramethyldisiloxane DMTMDSO: (OCHs) (CH3)2Si-〇-Si (CHs)2(OCHs)); CH3 CHa
I I
ChbO - Si - O — Si - OCH3 • CH. CHS 八甲基三石夕氧烧 octamethyltrisiloxane (OMTSO: (CH〇3Si-0-Si(CH3)2-0-Si(CH3)〇 ; GH2 CHa CH3
I I I CH3- Si ~ 0 - Si - O - Si-CHa I CHs CHa CH3 二曱氧基六甲基三矽氧烷 dimethoxyhexamethyltrisiloxane (DMHMTSO: 12
2060-6979-PF 200537644 (OCH3)(CH3)2Si-0-Si(CH〇2-0-Si(0CH3 )(CH〇2); OHa CH3 OH3
I I I
HsCO — Si —0 -Si-O-Si- OCH3
I I I CHa CHa GHs 六曱氧基二甲基三矽氧烷 hexamethoxydimethyltrisiloxane (HMDMTSO: (0CH3)2(CH3)Si-0-Si(0CH3)2-0-Si(0CH3 )2(CH3)); OCH3 OCH3 och3 響 HsC — Si — Ο — Si — Ο — Si— CHs
I I I OCHa OCHa OCHa 四曱基二氟二矽氧烷 tetraraethyldifluorinedisiloxane (TMDFDSO: F(CH3)2Si-0-SiF(CH3)2);
Chb CH3
I I
F — Si — O — Si-F
I I CHs CH3 二甲氧基二甲基二氟二矽氧烷 dimethoxydimethyIdi fluorinedisiloxane (DMDMFDSO: F(0CH3)(CH3)Si-0-SiF(CH3)(0CH3)); CH3 CH3
I I
F-Si-O-Si-F
I I OCH9 OCH3 五曱基氟二砍氧嫁
2060-6979-PF 13 200537644 pentamethylmonofluorinedisiloxane (PMMFDSO : (CHOsSi-0-SiF(CH〇2); CHs CH.
I I
GH^-Si-O-Si-F 1 I
CH. ChU 二甲氧基三甲基氟二矽氧 dimethoxytrimethylmonofluorinedisiloxane I (DMTMMFDSO: (CH3)3Si-0-SiF(0CH〇2); ch3 och3
I I
CH3 — Si-O-Si-F I I CHs OCH, 三甲基三氟二碎氧烧 trimethyltrifluorinedisiloxane (TMTFDSO : F(CH3)2Si-0-SiF2(CH3)); CH3 Chb
_ F — Si_0 —Si —F CHS F 曱氧基二曱基三氟二矽氧 raonomethoxydimethyltrifluorinedisiloxane (MMDMTFDSO: F(CH3)2Si-0-SiF2(CH3>); CH3 OCH3
I I
F_Si — O — Si — F I I
CH3 F 2060-6979-PF 14 200537644 二甲基四氟二矽氧烷 dimethyltetrafluorinedisiloxane (DMTFDSO: F2(CH3)Si-0-SiF2(CH〇);以及
F F
I I
CH5-Si-0-Si-CH5 I I
F F 甲氧基甲基四氟二矽 monomethoxymonomethyltetrafluorinedisiloxane φ (MMMMTFDSO: F2(CH3)Si-0-SiF2(0CH3))。
F F
I I CHs~Si-0-Si-OCH3
I I
F F 例如: (iii)具有至少一甲基或甲氧基之有機矽烷, 甲基石夕烧 Monomethylsilane (SilMCHs)), CH3
I Η - Si - Η
I • Η 二曱基矽烷 Dimethylsilane (SiH2(CH3)2), OH,
I H ” Si — GH3
I
H 三曱基矽烷 Trimethylsilane (SiH(CH3)3), CH3
I
H - Si - GH3 I CH3 2060-6979-PF 15 200537644 四曱基梦烧 T e t r a m e t h y 1 s i 1 a n e (S i (C Η 3) 4), CH3
I GHs _ Si — CH3
I CH3 一曱基三甲氧基矽烷 Monomethyltrimethoxysilane (Si(CH3)(0CH〇3),
Chb
I CH3〇 - Si - OCH,
I
0CHa 一甲基二甲氧基石夕烧 Dimethyldimethoxysilane (Si(CH3)2(0CH3)2),或 ch3
I CH3O - Si - OCH,
I CH3 一曱基甲氧基石夕燒Tr imethy lm〇n〇meth〇;xysilaiie (Si(CH3)3(0CH〇) CHa
I
CHaO - Si - CH3 I CH3 (iv) 氧化氣體 (v) 醇類 因此在氣 值得注意的是醇類在室溫或加熱下會汽化 態下使用。 S / (vi)稀釋氣體 下述將詳細說明該些使用氣體之重要陡 2060-6979-PF 16 200537644 根據本發明實施例, 十一 成電漿以形成低入φ辻將砰述错由將沉積氣體轉換 、” 成低介電常數絕緣層之沉積方法, $儿積翁、 /、中σ亥主要 所組成。 U 3石夕有機化合物與氧化氣體 特別的是,當利用具有 機4卜人从f基或甲氧基之含矽有 钱化β物的環狀石夕氧烧 有 緣層,儘管該絕緣層之介電當:;;:成白色混濁之絕 吸水性。另-方面ΐ 數低’但其機械力低且具高 面’虽加入鏈狀石夕氧院或具有至少一甲基 次甲虱基之有機矽烷於具有 氣俨十、… $主乂甲基或尹虱基之環狀矽 羊L /兀’進订沉積時,儘瞢 夕旦 s該釭緣層之介電常數會隨添加物 里而增加,但並不會形成白色混濁。 於進行本實驗數次後發現當調整加入環狀石夕氧燒中之 鏈狀矽氧或有機矽氧烷的量時, 盖 了」汉吾所形成絕緣層的機 械強度、吸水性並使其維持在低介電常數。此外,其更可 避免銅擴散至所形成之絕緣層。 、 值得注意的是,含甲氧基的石夕氧烧或有機石夕燒可有效 改善機械應力。 此外,含氟化合物可進一步的降低介電常數並改善機 械應力。 ° 在此貫施例中,藉由進一步加入曱醇或乙醇至上述的 /吧❼氣體中以使甲基、乙基或其類似物加入欲形成層中, 可進一步降低介電常數,而藉由羥基(〇H)氧化可增進於形 成層中之交連反應進而增加機械應力。 此外,加入惰性氣體可抑制沉積氣體快速反應進而抑 2060-6979-PF 17 200537644 制汽相反應(vapGr phase reaetiQn),在此m 應則所形成層之品質將明顯惡化’其中惡化包括形成層之 機械應力或附著力的惡化,料亦包括微粒的形成二由 抑制氣相反應的產生可避免上述該些問題。此外加入^ 性氣體宜適量若過多則會使介電常數增加。 月
接著請參照第2圖,於沉積氣體中之組成氣體說明如 下。每-個組合代表用於本發明中之一 (1-a)第一含矽有機化合物/第二 U-b)第一含矽有機化合物/第, 類 -含碎有機化合物 二含矽有機化合物/醇 (2-a)第一含矽有機化合物/第-釋氣體 二含矽有機化合物/稀 (2-b)第一含矽有機化合物/第」 釋氣體/醇類 二含矽有機化合物/稀 (3-a)第一含矽有機化合物/第二 化氣體 二含矽有機化合物/氧 (3-b)第一含矽有機化合物/第_ 化氣體/醇類 二含矽有機化合物/氧 (4-a)第一含矽有機化合物/第二 化氣體/稀釋氣體 二含矽有機化合物/氧 (4-b)第一含矽有機化合物/第」 化氣體/稀釋氣體/醇類 二含矽有機化合物/氧 (5 - a)弟一含石夕有機化合物/有機石夕烧 (5-b)第一含矽有機化合物/有機矽烷/醇類 2060-6979-PF 18 200537644 (6 a)弟一含矽有機化合物/有機矽烷 (6 - b)第一含矽有機化合物/ ^ (7-a)楚人 成夕燒/醇類 a)弟一含矽有機化合物/有機矽烷/ (7-b)第一含矽有機化合 乳體 類 有故妙烷/氧化氣體/醇 (8-a)第一含矽有機化合物/有機 釋氣體 兀7乳化氣體/稀 …“)帛一切有機化合物7有機錢/氧化氣體以 釋氣體/醇類 乳體/稀 接著’說明本發明之沉積步驟,在沉積條件 利用電漿增進化學氣相沉積法⑽VD)沉積 :’ 石夕基板上。該沉積氣體在上述: 夕層於 特別是以蒙TS為第-切有機化合物、HD咖低。 石夕有機化合物、水為氧化氣體、氦為稀釋氣體。 一含 在此沉積步驟中約需時約U分(穩定平衡時間)以置 換反應腔中之氣體以開啟沉積步驟(電漿激發),其中上電 極2將加熱至loot以避免反應生成物附著於電極2上/ 沉積條件I ° 沉積氣體 0MCTS 流速:75 seem HMDS0 流速·· 75 seem H2O 流速:500 seem 說氣流速:I 0 0 s c c m 氣壓·· 1.7 Torr 2060-6979-PF 19 200537644 極 電漿激發條件 上電極(第一電 高頻功率(頻率·· 13· 56 MHz) ·· 562K約為〇·旰/⑽2: 下電極(第二電極) 低頻功率(頻率:380MHz) ·· 0W 基底加熱條件·· 3 5 〇它 睛芬照第3A-3C圖,該些資料係顯示當HMDS0之沉積 速率為沉積條件1之外的其他速率,在此顯示HMDS0在 20 - 8〇sccm之範圍調變下其他參數之相對應關係。此外, 第4A-4C圖係顯示當Η"之沉積速率為沉積條件^之外的 八速竽在此顯示HMDS0在200-100sccm之範圍調變下 之其他*數之相對應關係。再者,第5A — 5C圖係顯示當氣 壓為沉積條件I之外的其他壓力,在此顯示在1·〇 —2· 〇托 耳之乾圍調變下其他參數之相對應關係。最後第圖 則係顯示當高頻功率為沉積條件I之外的其他功率,在此 顯示在350 —650W之範圍調變下其他參數之相對應關係。 ⑴沉積氣體_S0的流速與沉積於矽基底上之絕緣 層的介電常數、崩潰電壓以及沉積速率的關係。 U)沉積氣體HMDS0的流速盥所π a ^ 遣一、所开y成層的介電常數關 係0 第3A圖係顯示沉積氣體膽如的流速與所形成層的介 電常數以及反射率的關係。豆中 # - & ^ g 八干該圖之左軸縱軸係以線性 表不所形成層之相對介電常數, 艰士、恩U M、右 右軸縱幸由係以線性表示所 y成層之反射率,而橫軸係以線- 生表不HMDSO的流速 2060-6979-PF 20 200537644 seem 根據第 3 A 同& - ^ 弟〇Α圖所不,所形成層之介電 HMDS0流速增加而ΑΑ避# 八有h者 人 而4的趨勢°當HMDSG流速為2Gsccm時, m.25 ; HMDS0 流速為 3〇sccm 2.55,·在此隨著hmd 电吊數約 仙更回(例如:80sccm)介電當盤 會漸趨於定值約9 π狄針乂 "數 疋值、力2.55。於整個HMDS〇流速2〇_8〇sccm之 圍下可/則得2 · 6或更低之介電常數。 值得注f的是,反射率係表現出所形成層密度之斤 心、其中反射率越高則表示密度越高。下述亦有相同之應 用並省略其相關說明。 〜 ⑻沉積氣體HMDS0的流速與所形成層的崩潰電 係0 第3B圖係顯示沉積氣體HMDS0的流速與所形成層的崩 潰電Μ的關係。其中該圖之縱軸係以線性表示所形成層之 崩潰電壓,而橫軸係以線性表示HMDS〇的流速“π"。 根據第3B圖所示,所形成層之崩潰電壓具有隨著 HMDS0流速增加而緩增的趨勢。當HM])S〇流速為2〇 — 吟,朋潰電壓約5MV/cm或更高。因此所形成層具有足夠的 崩潰電壓以做為阻障絕緣層。 (c)沉積氣體HMDS0的流速與所形成層的沉積速率關 係0 第3C圖係顯示沉積氣體HMDS0的流速與所形成層的沉 積速率關係。其中該圖之縱軸係以線性表示所形成層之沉 積速率,而橫軸係以線性表示hmdso的流速(sccm)。 2060-6979-PF 21 200537644 根據第3C圖所示,當HMDS0流速增加則沉積速率會相 反地變慢。當HMDS0流速為2〇SCcm時沉積速率約 70 0nm/min,當HMDS0流速為80sccm時沉積速率約 70nm/min。在此發現常用的範圍(約3〇〇nn]/min)係在hmds〇 流速較低的情況下。 (11)沉積氣體H2〇的流速與沉積於矽基底上之絕緣層 的介電常數、崩潰電壓以及沉積速率的關係。 ?)沉積氣體112〇的流速與所形成層的介電常數關係。 、,弟4A圖係顯示沉積氣體H2〇的流速與所形成層的介電 常數以及反射率的關係。其中該圖之左軸縱軸係以線性表 不所形成層之相對介電常數,右軸縱軸係以線性表示所形 成層之反射率,而橫軸係以線性表示Μ的流速(sccm)。 根據第4A圖所示’所形成層之介電常數具有隨著㈣ :速降低而緩增的趨勢。當嶋速為2〇〇sccm時,介電 2.65; lOOOsccmaf , 2_ 55 : 起速5〇〇'1〇〇〇SCCm下,介電常數約2.6或更低。 Γ4=键。的流速與所形成層的崩潰電麼關係。 電壓的關;。氣體Μ的流速與所形成㈣ 潰電轴係以線性表示所形成層之崩 心軸係讀性表*㈣的流速(s 層形成於銅金屬層上且該介 、吧% 極所測得。 $朋-電屋係利用以銅層為電 根據第4B圖所示 ~速增加而緩增的趨勢 所形成層之崩潰電壓具有隨著H2〇 當H2〇流速為200-l00sccm時,
2〇6〇-6979_pF 22 200537644 ==驗m或更高。因此所形成層具有足夠的崩潰 $ 4阻n緣層’亚具有足以阻擋銅擴散的功能。 ::)(冗積氣體h2〇的流速與所形成層的沉積速率關係。 ▲弟4 C圖係顯示沉積氣體H 2㈣流速與所形成層的沉積 速率關係。J:中兮_少纪,缸# 、 八中該圖之縱軸係以線性表示所形成層之沉積 速率,而横軸係以線性表示ho的流速(sccm)。 、 、,根據第4C圖所#,沉積速率會隨著H2〇流速的增加而 增加。當h2〇流速為200sccm時沉積速率約當 h2〇流速為i00sccm時沉積速率約5〇(Wmin。在此發 用的範圍係在較高之H2〇流速下。 (iii)沉積氣體Μ力與沉積㈣基底上之絕緣層的介 電常數、崩潰電壓以及沉積速率的關係。 ,⑷沉積氣體堡力與形成於石夕基底上之絕緣層的介電 常數關係。 弟5Α圖係顯示沉積氣體壓力與所形成層的介電常數 以及反射率的關係。其中該圖之左轴縱轴係以線性表示所 形成層之相對介電常數’右轴縱車由係以線性表示所形成層 之反射率二而橫轴係以線性表示氣體娜〇r小 根據弟5 Α圖所示,卢斤r*V、>v 斤士成層之W電常數變化與氣體壓 力的變化具有报低的關聯,其中所形成層之介電常數具有 隨著氣體屢力降低而缓增的趨勢。當氣體塵力為! 〇Torr 時’介電常數约2.6’·氣體屢力為2.07〇汀時,介電常數 約 2 · 4 〇 承上所述,在氣體屡力為1〇_2〇T〇rr之下所測得介
2060-6979-PF 23 200537644 電常數為2.6或更低。 (b)沉積氣體之氣體壓力 係。 〃、所形成層的崩潰電壓關 第5B圖係顯示沉積氣體之氣體麼 潰電壓的關係。其中該圖 〆成層的朋 ^ 、 、、車由係以線性表示戶斤形成声之 (T〇rr)o 而&轴係以線性表示之氣體壓力 根據弟5B圖所示,所形士、成 力的4^^ ^成層之崩潰《變化與氣體壓 力的交化具有很低的關聯,其中所形成層之 ㈣體壓力降低而緩增的趨勢。當崩潰電 二有 時體厂氣嶋為…一當崩潰電嫩一广 氣體壓力為2. 0 T〇rr。 承上所述’在氣體遷力為 潰電壓為㈣心或更# t 下所測得崩 4更^因此所形成層具足夠之崩潰電 壓以作為阻障絕緣層。 (C)沉積氣體的屡力與所形成層的沉積速率關係。 第5C圖係顯示沉積氣體的壓力與所形成層的沉積速 ㈣性表示所形成層之沉積速 率—1^),而橫轴係以線性表示沉積氣體的麼力(了町)。 根據弟5 C圖所示,沉籍$遂各卩左μ ,儿積速率會隨者沉積氣體壓力的增 加而增加。當沉積氣體㈣力為h GT〇rr%積速率約 1〇〇nm/min,當沉積氣體的壓力為2 0T〇rr沉積速率約 29〇nm/min。在此發現在實際狀況下該值會有點低。 (iv)供應給沉積氣體之高頻功率(13. %腿z)舆沉積於 2060-6979-PF 24 200537644 夕基底上之絕緣層的介雷受杳 關係。 …數、朋潰電磨以及沉積速率的 (a)高頻功率(13.56迎2)與形成於矽基 的介電常數關係。 _上之、、,巴緣層 第6A圖係顯示將沉積氣體轉換成電裝之 m的介電常數、所形成層之介電常數與反射率的關 ”數=圖之左轴縱轴係以線性表示所形成層之相對^ :吊數,右軸縱軸係以線性表示所形成 轴㈣性表示高頻功率⑺。值得注意的是,== 可提供尚頻功率之34〇_0電極。 ° '、 根據第6A圖所示,所形成層之介電常 率的變化具有很低的M庐甘占 同頻功 “一 關聯’丨中所形成層之介電常致呈右 奴者尚頻功率增加而緩 ’、 介電常數約2 65.aa 田间頻功率為340W時, …·…頻功率為讀時,介電常數約2· 5。 在咼頻功率為450〜7nnw # 所測得介電常數為2_6或更低。 (b)咼頻功率(η ς 係。 · Hz) /、所形成層的崩潰電壓關 弟6B圖係顯示古斗 问頻功率與所形成層之崩潰電壓的關 係。其中該圖之縱軸伤 _ 係以線性表示所形成層之崩潰電壓 CMV/cm),而橫軸係以給 于M線性表示之氣體壓力(T〇rr)。 根據第6B圖所示’隨著高頻功 潰電壓值卻幾乎係—定枯^ α瓜攻層之朋 MV/cm時。 值’該所形成層之崩潰電壓約5.5-6 承上戶斤述,在;^斗5 » + Q、功率為340-700W之下所測得崩潰電
2060-6979-PF 25 200537644 壓為5MV/cra或更高。 為阻障絕緣層。 口此所形成層具足夠之崩潰電壓以作 ⑹高頻功率⑴·_Hz)與所形成層的沉積速率關 係。 第化圖係顯示高頻功圭 乂 貝力羊與所形成層的沉積速率關 係。其中該圖之縱站得 釉係以線性表示所形成層之沉積速率 (rnn/min),而橫軸係以線性表示高頻功率(^。 根據第6C圖所示,沉積速率會隨著高頻功率的增加而 增加。當高頻功率為340W時沉積速率約1〇〇〇sccm。 沉積條件II 沉積氣體 0MCTS 流速:75 seem HMTMDS0 流速:75 seem Η 2 0 流速· 5 0 〇 s c c in 氣氣流速· 1 0 0 s c c m 氣壓· 1·7 Τ〇γγ 電漿激發條件 上電極(第一電極) 高頻功率(頻率:13·56 MHz): 562W(約為 〇.6w/cm2) 下電極(第二電極)
低頻功率(頻率:380MHz) : 0W 基底加熱條件:3 5 0 °C 請參照第7A-7C圖,該些資料顯示除了 h2〇流速之外 其他沉積條件固^為上述之沉積條件n,其中_的流速 2060-6979-PF 26 200537644 二! 00s::之範圍内調變。此外’ f 8“c圖係顯示 除了氣壓之外其他沉積條件固定為上述之沉積條件H,且 中氣壓在1_ 0-2. 〇托耳之範圍内調變。 /、 (v)沉積氣體H2〇的流速與沉積於矽基底上之絕緣 "电4數、崩潰電壓以及沉積速率的關係。 ⑷沉積氣體h2〇的流速與所形成層的介電常數關係。 A第7A圖係顯示沉積氣體H2〇的流速與所形成層的介電 常數以及反射率的關係。其中該圖之左軸縱軸係以線性表 示所形成層之相對介電常數’右軸縱軸係以線性表示所: 成層之反射率,而橫轴係以線性表示HA的流速(Sam)。 根據第7A圖所示,所形成層之介電常數具有隨著μ 流速增加而緩降的趨勢。當勵SO流速為20〇咖時,介 電常數約2.7;當HMDS〇流速為1〇〇〇sccm 於整個-流速—ccm之範圍下可‘ 更低之介電常數。 值得/的疋,反射率係表現出所形成層密度之指 I,其中反射率越高則表示密度越高。下述亦有相同之應 用並省略其相關說明。 沉積氣體Η"的流速與所形成層的崩潰電壓關係。 …第7B圖係顯不沉積氣體—的流速與所形成層的崩潰 電壓的關係。|中該圖之縱轴係、以線性表示所形成層之崩 潰電壓(MV/Cm),而橫軸係以線性表示H2〇的流速(sccm)。 根據第7B圖所不,當H2O的為200-lOOOsccm時,崩 /貝電£約6MV/cm。因此所形成層具有足夠的崩潰電壓以做
2060-6979-PF 27 200537644 為阻障絕緣層。 (C)沉積氣體的H2〇流速與所形成層的沉積速率關係。 pc圖係顯示沉積氣體H2G的流速(⑽/議)與所形成 =的沉積速率關係。其中該圖之縱軸係以線性表示所形成 層之沉積速率’而橫軸細線性表示_的流速(sccm)。 7C圖所示’當沉積速率增加μ流速亦會依比 米曰口。《 h2〇流速為200sccra時沉積速率約4(Wmin, * Μ流速為時沉積速率約52GM/_。在此發 現貫際上H2〇流速係在較高速率下。 (V1)沉積氣體㈣力與沉積於⑦基底上之絕緣層的介 電常數、崩潰電壓以及沉積速率的關係。 L)儿積氣體的壓力與所形成層的介電常數關係。 、第8A圖係顯示沉積氣體的壓力與所形成層的介電常 數以及反射率的關係。丨中該圖之左轴縱軸係以線性表示 所形成層之相對介電常數’右軸縱軸係以線性表示所形成 層之反射率,而橫軸係以線性表示氣體的壓力(T〇rr)。 根據第8A圖所示’隨著氣體壓力的改變所形成層之介 電常數並不會有很大的改變’因此兩者之關聯性不大,在 此介電常數隨著氣體壓力的增加僅會稍務降低。當氣體屍 力為Κ〇Τ〇ΓΓ時,介電常數約2.7;當氣體壓力為2.〇T〇rr 時,介電常數約2.6;在_力為^"…町之範圍 下’介電常數約2. 6或更低。 沉積氣體的壓力與所形成層的崩潰電壓關係。 第8B圖係顯示沉積氣體的壓力與所形成層的崩潰電 2 060-6979-pp 28 200537644 壓的關係。其中.該圖之 ^ ^ 之蜿軸係以線性表示所形成層之崩潰 電壓(MV/cm),而樺為在、 α I欣層之朋m (Tc^d。 、以線性表示沉積氣體的壓力 根據弟8 Β圖所示,隨基a ^ , 隧者軋體壓力的增加所形成層之崩 項電麼會相反地依比例緩 入 ^友1争。畜軋體壓力為1· OTorr時, 厂電吊數約2·7;當氣轉段 R ? MV/ ^ 〃體£力為h0T〇rr時,崩潰電壓約
6· 2 MV/cm ;當氣體壓力A 為2· OTorr捋,崩潰電壓約5. 2 MV/cm,在氣體壓力Al RMV/ 為之範圍下,崩潰電壓約 d MV/cm。因此所形成層 ^ & 、, 曰/、有足夠的朋潰電壓以做為阻障絕 、味€ ’亚具有足以阻擋鋼擴散的功能。 ^ )儿積氣體壓力與所形成層的沉積速率關係。 第8C圖係顯示沉積氣體磨力與所形成層的沉 關係。其中該圖之攸k β 縱軸係以線性表示所形成層之沉積速 率,而k軸係以線性表示氣體壓力(T〇rr)。 根據弟8C圖所开*,ν»社土古入 ,儿積速率會隨著氣體壓力的增加而 依比例增加。當氣駚颅a * ,n 向 _竺力為h 0時T〇rr沉積速率約 100nm/mln ;當氣體 &力為2. 0T〇rr時沉積速率 d40nm/min 〇 (1 1 1)沉積氣體壓力 土刀與/儿積於矽基底上之絕緣層的 電常數、崩潰電壓以及沉積速率的關係。 (d)其他。 …於/儿積^件11之下,利用含有甲基之DMTMDS0做為第 3夕口物以增加所形成層之機械強度。在此係藉由 甲氧基中所含的氧以增加機械強度。
2060-6979-PF 29 200537644 承上所述’根據第—實施例,利用沉積氣體以電將掸 進CVD進行沉積步驟,其中含有具曱基的·^(環狀= 烷,cycuc sli〇xane)以及具曱基的HMDS〇或具甲氧式 MTMDS0(鏈狀石夕氧炫)做為含石夕化合物,藉此所形成之二 混濁絕緣層可增加機械強度,改善吸水性 數為2.6或更低。 ^ ^ 雖然本發明已以第—實施例揭露如上,然其並非用以 限定本發明,任何孰習卜 …項技藝者,在不脫離本發明之精 神和範圍内,當可作爭叙於 更動14潤飾,因此本發明之保護範Jg 當視後附之申請專利範圍所界定者為準。 隻乾圍 例如,苐_含梦化合物之户诘斜笙人a 一 ,爪迷對弟一含矽化合物之流 而此比例亦可適度地調整。在此實施例中, 當該比例較小時’第-切化合物的比率相對增加,因而 時,第二人欲外入 色此濁。相反地,當該比例較高 …且2 5物的比率相對增加,如此則不會產生白 色…蜀且會增加機械強度,氮介電常 比 例以可避免上述問題之範圍較佳。 比 此外,第—實施例中具切氧鍵之 矽烷^扎(邙3)“:11 = ()1 〃他化5物或尹基 例中所用#_。以及D_:::第:實: 之具有石夕氧鍵或甲基石夕燒之其他 ^例所牛 此外,沉積氣體中τ入 -物的例子在此省略。 檟風體中可含▼醇或乙醇。 此外’亦可利用含氬氣或氮 做為沉積氣體中的稀釋氣體之用 "^之^性氧體取代氨氣來
2060-6979-PF 30 200537644 接著,第9A-9G圖係顯示根據本發明第二實施例之半 導體裝置及其製法。 第9G圖係顯示利用本發明第二實施例之方法所製造 之半導體裝置部分圖。 請參照第9G圖,其中半導體裝置具有雙鑲嵌結構。該 裝置係以下層金屬埋藏式絕緣層3 4形成以及上層金屬埋 藏式絶緣層45形成’其中下層金屬線(38a,38b)、上層金 屬線(55a,55b)為分別埋藏於絕緣層34、45中之埋藏式金 _屬線,另外金屬層間絕緣層42似三明治般地被夾在上述兩 層埋藏式金屬線之間’並層疊於基底31上。金屬層間絕緣 層42連接導體(54a,54b)以連接埋藏式之下層金屬線 (38a,38b)與上層金屬線(55a,55b)。在此主要銅金屬層係 由下層金屬線(38a,3 8b)、連接導體(54a,54b)與上層金屬 線(55a,55b)所組成。下層埋藏式金屬絕緣層34係由主要 絕緣層與阻障絕緣所組成,其中金屬層間絕緣層42係由下 層阻障絕緣層、主要絕緣層以及上層阻障絕緣層所組成, 而上層埋藏式金屬絕緣層45係由主要絕緣層以及阻障絕 緣層所組成。 於此貫施例中,提供沉積條件丨以沉積形成下層埋藏 式金屬絕緣層34之主要絕緣層、金屬層間絕緣層“以及 上層埋藏式金屬絕緣層4 5。 於半導體裝置之製造方法中,首先將基底31傳送至沉 積裝置101的反應室丨,接著將其固定於支撐座3上。加 熱基板31並維持溫度在350t。於電漿沉積裝置1〇1之反 2060-6979-PF 31 200537644 應室1 (如第1圖所示)中,在107τ〇〇Γ之壓力下通入流速 分別為 75seem、75 seem、500 seem 以及 1〇〇 sccm 之 0MCTS、 HMDSO、H20以及氦氣。然後提供頻率13. 56MHz之562W(約 係〇.6W/cm2)高頻功率至上電極2。在此案例中,並不提供 38 0kHz之低頻功率於下電極3。 接著,0MCTS、HMDS0、H20以及氦氣轉會為電漿。.該 狀態會持續一段時間以形成厚度1V m之S丨〇 c H層來做為主 要絕緣層32,該絕緣層32係形成於基底31(進行沉積的基 材)上以組成下層埋藏式金屬絕緣層34。值得注意的是, Sl〇H層係一含矽、氧、碳以及氫於其中之絕緣層。 阻障絕緣層33形成於主要絕緣層32上,以利用電漿 增進CVD法組成下層埋藏式金屬絕緣層34。在此阻障絕緣 層33 —般可以係利用其他沉積條件所沉積的二氧化矽 層,此外亦可為氮氧化氣層或氮化矽層。雖然該些層具有 微高之介電常數,其亦同時具較佳的抗銅金屬擴散以及機 械應力。如下述,該相同層亦可沉積於阻障層上。 接者,如第9B圖所述,於蝕刻埋藏式下層金屬絕緣層 34以形成下層金屬溝槽(34a,34b)後,形成一氮化鈕層於 下層金屬溝層(34a,34b)内壁以做為抗銅擴散層(如第9C 圖所示)。再經由濺鍍法形成銅晶種層(未顯示)於氮化鈕層 表面上,然後電鍍銅金屬層於晶種層上。接著,利用cMp 法研磨掉突出於金屬溝槽(34a,34b)之銅金屬層與氮化鈕 層以使表面平坦。下層金屬線(38a,38b)主要由銅金屬層 組成,其包含銅金屬層(37a, 37b)以及氮化鈕層Ο。,
2060-6979-PF 32 200537644 36b)。
接者請參照9D圖,於第9C ^ 34 ^ e 广層埋職式金屬絕緣 :〜成金屬層間絕緣42以及埋藏式金屬絕緣層45, 其#連如下。 值件注意的是,f 9C圖中之金屬層間絕緣層42以及 基底31係於沉積穿 胃衣置101的反應至1中形成,並固定於基 -牙 。接著加熱基底31並使其維持溫度在35(rc 之下。將沉積氣體導入反應室Η以使氣體轉換成„, 約10°nm之阻障絕緣層39,其中阻障絕 ::38b金屬層(飄3峨觸並覆蓋下層金屬層 ’ )以及埋藏式下層金屬絕緣層3 4。 接著’利用相同於形成第9A圖中之主要絕緣層 沉積條件爾厚度5〇0nra之氮氧化石夕主要絕緣層40係於 形成於阻障絕緣層39上。再利用電漿增進CVD法形成厚户 勺1 〇〇nm之阻障絕緣層41於主要絕緣層4〇上。 利用上述方法,以形成由阻障絕緣層39、主 40以及阻障絕緣層41所組成之金屬層間絕緣層仏、咏曰 接著’形成上層埋藏式金屬絕緣層45於金屬層間絕芦 層42上。其中包括下層之主要絕緣層^形成於阻障絕2 層41上,其厚度約5〇〇nm係由Si〇CH形成且具有低介電常 數,而該主要絕緣層43之沉積條件與第9A圖中沉積主要 絕緣層32之方式相同。上層埋藏式金屬絕緣層包括上 層之阻障絕緣44係利用電漿增進CVD法形成於主要絕緣層 43上’其厚度約 2060-6979_PF 33 200537644 利用上述步驟,以形成由主要絕緣層43以及阻障絕緣 44所組成之上層埋藏式金屬絕緣層。 接著,如第9E圖所示利用曝光方式形成具有開口(46&, 46b)之光阻罩幕層46於下層金屬線(38a,38b)上方之阻障 絶緣層44上。經由開口(46a,46b)蝕刻阻障絕緣層44、 主要絕緣層43、阻障絕緣層41以及主要絕緣層4〇以形成 開口(47a,47b)直至接觸阻障絕緣層39為止。 接著,如第9F圖所示,移除光阻罩幕層46並利用曝 光方式形成具有開口(48a,48b)之新的光阻罩幕層48於阻 障絕緣層44上。其中光阻罩幕48之開口(48a,48b)寬度 大於開口(47a,47b)並包含開口(47a,47b)於其中。利用 開口(48a,48b)蝕刻開口(47a,47b)周圍之阻障絕緣層44 以及主要絕緣層43。當蝕刻阻障絕緣層44時,開口(47a, 47b)底部所露出之阻障絕緣層39(利用第9e圖步驟形成) 亦同柃被钱刻而形成下層導線(38a,38b)之銅金屬層(37a, 37b)則係開口(47a,47b)所露出之底部。值得注意的是圖 中之所標示之50a、5 0b具有與開口(47a,4 7b)相同之形狀 與尺寸。開口(49a,49b)即上層金屬線溝槽具有一較寬的 開口穿透上層埋藏式金屬絕緣層45,而開口(51a,51b)即 金屬線連接孔之寬度較窄其連接開口(49a,49b)並穿透金 屬層間絕緣層4 2。 接著’請參照第9 G圖,一避免銅擴散之氮化组層形成 於金屬線連接孔(51a,51b)以及上層金屬線溝槽(49a,49b) 之内壁。接著,利用濺鐘法於氮化钽層表面形成銅晶種層 2060-6979-PF 34 200537644 (未顯示)’再利用電鍍法形成銅金屬於其中。以cMp法研 磨突出於上層金屬線溝槽(49a,49b)之外的銅金屬層及氮 化钽層以平坦化表面。因此形成由由銅金屬層(咖, 以及氮化H(52a,52b)所組成的連接導線(⑷,5⑻以 及上層金屬線(55a,55b)。 接著,於整個表面上形成阻障絕緣層56以完成半導體 裝置。 如上所述,根據第二實施例利用半導體裝置之製造方 法,其中下層金屬線(38a,38b)埋藏於下層埋藏式金屬絕緣 層34,上層金屬線(55a,55b)埋藏於上層埋藏式金屬絕緣 層45而金屬層間絕緣層42則似三明治般地形成於該兩之 間,在此係利用混合之沉積氣體以電漿增進CVD法形成下 層埋藏式金屬絕緣層34之每一主要絕緣層(32,4〇,43)、 金屬層間絕緣層42以及上層埋藏式金屬絕緣層45,其中 混合之沉積氣體包括由具曱基之〇MCTS(環狀矽氧烷)以及 具有甲基之HMDS0 (鏈狀矽氧烷)組成之混合物,並加入水 (氧化氣體)以及氦(稀釋氣體)至混合氣體當中。 因此’可避免所形成之主要絕緣層(32,4〇,43)的白 色此濁、增加機械應力、改善所形成之主要絕緣層(3 2,4 〇, 43)的吸水性並維持其介電常數在2· 6或更低,藉以改善高 速功能之半導體裝置。 此外’加入惰性氣體以稀釋上述沉積氣體以抑制沉積 氣體快速反應以及汽化反應。因此可避免機械強度以及形 成層附著強度之降低,並且避免微塵產生。在此以加入適 2060-6979-PF 35 200537644 量之惰性氣體較佳’若加 數。 9加所形成層之介電常 雖然本發明已以較佳每
H戸—4 1男、知例揭露如上,妒1、, L 限疋本發明,任何熟習此項技蓺 ;、、'、其亚非用以 神和範圍内’當可作更動與潤:,因本發明之精 當視後附之中請專利範圍所界定者為帛保護範圍 例如沉積條件!係形成主要絕緣層⑼,4〇 、
積條件,而第一實施例中之沉 之"L 春用。 積仏件1 1或其他條件亦可使 第三實施例 :1。圖係顯示本發明第三實施之半導體裝置剖面圖。 立第1G圖與第9圖不同之處在於下層埋藏式金屬絕緣阻 F早層34、金屬層間絕緣層42以及上層埋藏式金屬絕緣阻 障層45分別不包含阻障絕緣層33、39、41、44而僅分別 ^主要、絕緣層(61,62, 63)組成。其中第1G圖中所示之與 第9A-9G圖相同符號之元件具有與第9A_9G圖中相同功能 之元件或係提供與第9A—9G圖相同之元件。 接著’說明半導體裝置製造之第三實施例。 第1 0圖係顯示本發明半導體裝置之第三實施例,其係 一下層埋藏式金屬絕緣阻障層34、金屬層間絕緣層42以 及上層埋藏式金屬絕緣阻障層45分別不包含阻障絕緣層 (如第9G圖之半導體裝置所示)之結構。因此第三實施例係 省略第二實施例中阻障絕緣層之沉積的半導體裝置製法。 在此實施例中可使用第二實施例中之沉積條件I、沉積條 2060-6979-PF 36 200537644
件11或第貫施例之其他沉積條件來沉積絕緣層(6工、 62、63)。然而,每一絕緣層(6卜62、63)之厚度與下層埋 藏式金屬絕緣阻障層34、金屬層間絕緣層42以及上層埋 藏式金屬絕緣阻障層45所需厚度相同。 S 如上所述根據第三實施例半導體裝置之製造方法包括 形成下層金屬線(38a、38b)埋藏於其中之下層埋藏式 絕緣阻障層34、上層金屬線(55a、咖)埋藏於其中之上層 埋藏式金屬絶緣阻障層45以及金屬層間絕緣層似三明 治般的形成於該兩層之間,由單層絕緣層⑻、⑵、所 組成之下層埋藏式金屬絕緣阻障層34、金屬層間絕緣層42 以及上層埋藏式金屬絕緣阻障層45係各自利用 以電漿增進cvn、车π接廿丄 CVD法,儿積,其中沉積氣體係-含矽有機化人 物’包含有含甲基之環狀以及鏈狀石夕氧烷或具有甲基之: 機矽烷之混合氣體。 $ ”因此,與第—實施例相同可避免形成白色混濁之主要 、=層(61、62、63)、增加機械強度、改善所形成 :性以及維持其介電常數為26或更低。
半導體高速功能。此外,由於所# # _ 』改D 由於所I成之絕緣層(61、62、63) 可避免鋼擴散,因此主要 b 聲、、、巴4層具有低介電常數以及可作 為銅之阻障絕緣層。 因此,單層絕緣層(61、62、63)可 屬絕緣阻障層34、金屬層間絕緣 = 屬绍於咖拉β 日以Μ及上層埋藏式金 …:層45,並省略沉積阻障絕緣層以簡化 弟四實施例 2060-6979-ρρ 37 200537644 第11圖係顯示本發明第四每—y丨, 月弟四只轭例之半導體裝置剖面 圖’/、中第11圖中所示之與第9A,圖相同符號之元件呈 有與第9A-9G圖中相同功能之元件或係提供與第圖 相同之元件。 ^ 接著,說明半導體裝置製造之第三實施例。 本發明第四實施例之半導體裝置層疊結構與第% (第二實施例)相同。特別係如第u圖所示半導體裝置具= 雙鑲嵌結構而該結構係由下述結構組成包括:τ層金屬 ⑽a、埋藏於其中之下層埋藏式金屬絕緣阻❹ 上層金屬線(55a、55b)埋藏於其中之上層埋藏式金屬p 阻障層45以及金屬層間絕緣層42似三明治般的形成於: 兩層之間’且該金屬層間絕緣層42中埋藏有連接導體 (54a、54b)於其中以於基底31上連接下層金屬層(心、卿 與上層金屬層(55a、55b)。在此銅金屬層主要係由下層金 屬層(38a、38b)、連接導體(54a、54b)與上層金屬層(5^、 55b)所組成。下層埋藏式金屬絕緣阻障層34係由主要絕緣 層64以及阻障絕緣層65組成、金屬層間絕緣層係由下 層阻障絕緣層66、主要絕緣層67以及上層阻障絕緣層μ 組成,而上層埋藏式金屬絕緣阻障層45係由主要絕緣層 69以及阻障絕緣層70組成。 、曰 換句話說,第四實施例係製造半導體的方法,與第二 實施例不同其可利用此實施例之沉積條件丨、沉積條件Η 或實施例一中之其他沉積條件來沉積分別屬於下層埋藏式 金屬絕緣層34、金屬層間絕緣層42以及下層埋藏式金屬 2060-6979-PF 38 200537644 ::=45之阻障絕緣層(65,…68, % 7"。於此例中, 〜層具有低介電常數以作為主要絕緣層(64, 67, 69)。 :列如多孔隙絕緣層係經由多步驟CVD沉積以及前處理(例 ;·所形成層轉換成多孔隙之加熱步驟),而 利用塗佈法形成。 如上所述,製造半導體裝置的方法包括形成下層埋藏 ::屬、巴、本層34、金屬層間絕緣層42以及下層埋藏式金 :絕緣層45以及分別組成下層埋藏式金屬絕緣層%、金 屬層間絕緣層42以及下層埋藏式金屬絕緣層45之阻障絕 =層(65, 66, 68, 7〇,71),而上述該些層係利用沉積氣 人、屯水i日進化學氣相沉積法形成,其中沉積氣體係一混 〃、3夕有機化合物,包括含曱基之環狀矽氧烷及鏈狀矽 氧垸或含甲基之有機矽烷。 0因此,如第一實施例所述,分別組成下層埋藏式金屬 水層34、金屬層間絕緣層42以及下層埋藏式金屬絕緣 •層45之阻障絕緣層(65, 66, 68, 70, 71 )之介電常數可維 、在2 · 6或更低,並同時具有避免銅擴散之功能。因此, 利用介電常數較低之介電層作為主要絕緣層可更進一步地 爷低下層埋藏式金屬絕緣層34、金屬層間絕緣層42以及 下層埋藏式金屬絕緣層45之介電常數。因此亦可改善半導 體裝置之高速功能。 雖然本發明以利用上述四個實施例詳細說明,然其並 非用以限定本發明,任何熟習此項技藝者,在不脫離本發 明之精神和範圍内,當可作更動與潤飾,因此本發明之保 2〇6〇-6979~pp 39 200537644 護範圍當視後附之申請專利範圍所界定者為準。 根據本發明刹田a a 、 月利用》儿積氣體以電漿增 形成,並φ ” i a ^ 疋1匕予乳相沉積法 ’、,儿積乳脰係利用氣體混合成主要Λ於a 、 括··具有至少一甲美要成伤氣體包 甲基或甲虱基之環狀矽氧烷U θ目士 一甲基或甲氧基之铖貼虱烷以及具有至少 乳卷之鏈狀矽乳烷的混合; 可為環狀矽氧烷,且古$ I /者主要成份氣體 今半以兀,具有至少一 以及鏈具有至少一/羊土之環狀矽氧烷 …氣基之有機矽烷的混合。此 外,在絕緣層可避免銅擴散之下,亦可加口此 水於混合氣體中以擗φ γ 士 虱匕氧體例如 里…: 、絕緣層中有白色混濁並增加 /械應力’改善其吸水特性並維持介電常數纟2.
更低。 I 此沉積方法可應用於製造具有銅金屬線及其類似物於 :亡之丰導體裝置,而具有上述特徵之介電絕緣層可作為 设盍於銅金屬線及其類似物上之阻障絕緣層,或作為直接 覆蓋於銅金屬線及其類似物上之絕緣層,或作為阻障絕緣 層上並覆蓋於銅金屬線及其類似物上之主要絕緣層。此 外,亦可利用上述之絕緣層、阻障絕緣層以及主要絕緣層 做為絕緣層以組成金屬層間介電層或埋藏式金屬絕緣層。曰 利用本發明方法,半導體裝置可在不減損其功能下, 使驅動頻率超過GHz且其金屬圖案尺寸可縮至65nm或更 【圖式簡單說明】 第1圖係根據本發明實施例之平行板式電漿沉積裝置 101結構側視圖。 2060-6979-PF 40 200537644 第2圖係繪示出根據本 言兒明表 ° 發明之沉積氣體中之組成氣體 弟3A-3C圖係繪示+ 少、六$ a仏/ 1 根據本發明以MDS0為沉積義俨 之流速與所形成層的Α η 積風肢 以 ~他苓數的關係圖。 弟4A-4C圖係纷示屮 流速與所形成層的其他夫^據本發明為沉積氣體之 ^ 他翏數的關係圖。 第5A-5C圖係顯示根 ,...L . 據本發明之沉積氣體壓力與所形 成層的其他茶數的關係圖。 弟6A-6C圖係晶| + , ^ ^ . s ^ ^ ”、…、根據本發明將沉積氣體轉換成電漿 — 曆的其他芩數的關係圖。 ^ 7C圖係顯不根據本發明以H2〇為沉積氣體的流 速與:形成層的其他參數的關係圖。 弟 8 A - 8 C 圖係 ι_ 、 竹顽不根據本發明之沉積氣體壓力與所形 成層的其他參數的關係圖。 第9A-9G圖係顯示根據本發明第二實施例之半導體 置及其製法。 、 ”。圖係顯示本發明第三實施之半導體裝置剖面圖。 弟11圖係顯示本發明第四實施例之半導體裝置剖面 【主要元件符號說明】 1〜反應室; 2〜上電極; 3〜下電極; 4〜排氣管; 5〜開/關閥; 6〜排氣單元; 7〜高頻電源供應源; 8〜低頻電源供應源;
2060-6979-PF 41 200537644 9 a〜管線; 10a〜1 Oh〜開/關裝置; 9b-9h〜分支管線; 12〜加熱器; 11 a〜11 g〜流速控制裝置 3 2〜主要絕緣層; 31〜基底; 3 4〜下層金屬埋藏式絕緣層; 34a、34b〜下層金屬溝槽; 3 3〜阻障絕緣層; 36a、36b〜氮化短層; 4 0〜主要絕緣層; 37a、37b〜銅金屬層; 41〜阻障絕緣層; 38a、38b〜下層金屬線; 4 2〜金屬層間絕緣層; 39〜阻障絕緣層; 44〜上層之主要絕緣層; 45〜上層金屬埋藏式絕緣層; 4 3〜下層之主要絕緣層 46a、46b〜開口; 51 a、51 b 〜開口; 47a、47b〜開口; 52a、52b〜氮化叙層; 48a、48b〜開口; 53a、53b〜銅金屬層; 49a、49b〜開口 ; 54a、54b〜連接導線; 50a、50b〜開口 ; 55a、55b〜上層金屬線; 5 6〜阻障絕緣層; 67〜主要絕緣層; 61〜64〜主要絕緣層; 68〜上層阻障絕緣層; 6 5〜阻障絕緣層; 69〜主要絕緣層; 66〜下層阻障絕緣層; 71〜阻障絕緣層; 70〜阻障絕緣層; 1 0 1〜平行板式電漿沉積裝置; 1 01B〜沉積氣體供應部分。 ;101A〜沉積部分;
2060-6979-PF 42

Claims (1)

  1. 200537644 十、申請專利範圍: 1. 一種沉積方法,以將沉積氧俨M祕* + y# 少 谓礼體轉換為電漿並產生反 應以形成一具有低介電常數絕緣 ± ,/V. ^ 水層,其中該沉積氣體具有 一主成分氣體,包括: 一第一含矽化合物,其具有 ’衣狀石夕乳丈元鍵以及至少一 甲基或甲氧基;以及 一第二含氧有機化合物 少一甲基或甲氧基。 其具有鏈狀石夕氧垸鍵以及至
    、2.如巾請專利範圍第丨項所述之沉積方法,其中該主 成分氣體係將氧化氣體加入該第一含矽化合物以及該第二 含石夕有機化合物組成中’而該氧化氣體係擇自由水、氧 一氧化二氮以及二氧化碳所組成之族群。 3·如申請專利範圍第1項所述之沉積方法,其中該主 成分氣體係將醇類加入該第一含矽化合物以及該第二含矽 有機化合物組成中。 4 ·如申請專利範圍第1項所述之沉積方法,其中該主 成分氣體係將氧化氣體與醇類加入該第一含矽化合物以及 該第二含矽有機化合物組成中,而該氧化氣體係擇自由 水、氧、一氧化二氮以及二氧化碳所組成之族群。 5·如申請專利範圍第1項所述之之沉積方法,其中該 第一含石夕有積化合物係以卞任意一種·· 八甲基環四梦氧烧 octamethylcyclotetrasiloxane (OMCTS: ((OH3)2)4Si4〇4)); 2060-6979-PF 43 200537644 CH3 CHa I I CHs— Si — O — Si—CH^ I I 0 O 1 I CHS —Si — O — Si~CH3 I I ch3 ch3 四甲基環四石夕氧垸 tetramethylcyclotetrasiloxane (TMCTS: ((CH3H)4Si4〇4));以及 Η H • CHs-Si-O-Si-CHs I I 0 O 1 I CH3— Si — 0 — Si ~ CHs I ί Η H 四曱氧基四甲基環四矽氧 tetramethoxytetramethylcyclotetrasiloxane (TMTMCTS: ((OCH〇(CH3))4Si4〇4)。 • OCHs OCHs I I CHU— Si — 0 —Si—CHs I I 0 0 I I CHc—Si — 0 — Si—CHs I 1 OChU OCH3 6_如申請專利範圍第1項所述之之沉積方法,其中該 弟-一含碎有積化合物係以下任意一種,包括: 六曱基二石夕氧烧 hexamethyldisiloxane (HMDS0: 2060-6979-PF 44 200537644 (CH3)3Si-0-Si(CH〇〇 ; CH. Chb I I CH 厂 Si_0 —Si — CH3 t I CH3 ch3 二曱氧基四甲基二矽氧烷 dimethoxytetramethyldisiloxane (DMTMDSCh (0CH3)(CH〇2Si-0-Si (CH3)2(0CH〇); CHS CH. I I CH3〇-Si — 0 — Si — OChb I I CHa Chb 八甲基三石夕氧烧 octamethyltrisiloxane (0MTS0: (CH3)3Si-0-Si(CH3)2-0-Si(CH3)3); CHs CHa CH, f I I CHg —Si — 0 — Si - 0 — Si-CH3 f I I ChU CH3 CHs 二曱氧基六曱基三矽氧烷 dimethoxyhexamethy 1tr i s i1oxane (DMHMTSO: (0CH3)(CH3)2Si-〇-Si(CH3)2-0-Si(0CH3 )(CH3)2); CH3 CHa CH3 I I I HsCO ^ Si — 0 — Si—O — Si— OCH3 I I I CH3 Chb CHs 六甲氧基二曱基三矽氧烷 hexamethoxydiraethy1trisiloxane (HMDMTSO: 2060-6979-PF 45 200537644 (0CH〇2(CH3)Si-〇-Si(0CH〇2-0-Si(0CH3 MCHO); OCHs OCHa OCHs I· I I hbC - Si — ◦一 Si—〇 一 Si— CH3 I \ I OCH-i OCHa OCH^ 四甲基二氟二矽氧烷 tetramethyldifluorinedisiloxane (TMDFDSO: F(CH3)2Si-0-SiF(CH3)2); CH3 CH3 I I • F-Si-O-Si-F I I CH3 CH3 二甲氧基二甲基二氟二矽氧烷 dimethoxydimethyIdifluorinedisiloxane (DMDMFDSO: F(0CH〇(CH〇S卜0-SiF(CH3)(0CH3)); CH3 CHs I I F — S丨 _0 — Si—F I I • OCH3 OCHs 五甲基氟二碎氧 pentamethylmonofluorinedisi 1oxane (PMMFDSO: (CH3)3Si-〇-SiF(CH3)2); CHa CH3 I I CH3 — Si — O - Si—F I I CHs CH3 二曱氧基三曱基氟二矽氧 2060-6979-PF 46 200537644 dimethoxytrimethylmonofluorinedisiloxane (DMTMMFDSO: (CH3)3Si-0-SiF(0CH〇2); ch3 och3 I I CHs-Si-O-Si-F I I CH3 OCH3 三甲基三氟二矽氧烷 trimethyltrifluorinedisiloxane (TMTFDSO : F(CH3)2Si-0-SiF2(CH〇); CH3 CH. I I F-Si-O-Si-F I 1 CHS F 甲氧基二曱基三氟二矽氧 monomethoxydimethyltrifluorinedisiloxane (MMDMTFDSO: F(CH3)2Si-0_SiF2(CH3)); ChU OCH3 I I F—Si — O — Si—F I I CH, F 二甲基四氟二矽氧烷 dimethyltetrafluorinedisiloxane (DMTFDSO: F2(CH3)S卜0-SiF2(CH3));:以及 F F I I Chb — Si_ O — Si—Chb I I F F 曱氧基甲基四氟二矽 2060-6979-PF 47 200537644 monomethoxymonomethyltetrafluorinedisiloxane (MMMMTFDSO: F2(CH3)Si-0-SiF2(OCH3)) F F I I CHs — Si — O — Si — 〇CHs I I F F 7· —種半導體裝置之製造方法,包括一絕緣層,其埋 藏有以銅金屬為主成份之金屬線,其中該絕緣層係由低介 電常數之絕緣層組成並根據專利範圍第1項之方法沉積而 成。 8·如申請專利範圍第7項所述之半導體裝置之製造 方法,其中該絕緣層係一阻障絕緣層並與該以銅金屬為主 成份之金屬線連接。 9·如申清專利範圍第7項所述之半導體裝置之製造 方法,其中該絕緣層係一主要絕緣層並透過一阻障絕緣層 形成於該以銅金屬為主成份之金屬線上方。 10. 如申請專利範圍帛7項所述之半㈣裝置之製造 方法’其中該絕緣層組成-金屬I間絕緣層卩爽層由銅金 屬為主成分之金屬線於其中。 11. 一種沉積方法,以將沉籍碧挪絲认L 了/几積乳體轉換成電漿並產生 反應以形成一低介電常數絕緣層,复由 " 具中该沉積氣體具有一 主成分氣體,包括·· 一第一含石夕化合物,其具有淨此 〆 ’衣狀矽氧烷鍵以及至少一 甲基或曱氧基;以及 鍵結 -有祕,其具有至少-甲基以及甲氧基與石夕 2060-6979-PF 48 200537644 12. 如申請專利範圍第11項所述之沉積方法,其中該 主成分氣體係將氧化氣體加入該第一含;e夕化合物以及該有 機矽烷中,而該氧化氣體係擇自由水、氧、一氧化二氮以 及二氧化碳所組成之族群。 13. 如申請專利範圍第11項所述之沉積方法,其中該 有機矽烷係擇自由下述所組成之族群: 曱基石夕烧 Monomethylsilane (SiH3(CH3)), CH3 ? I 癱 Η - Si - Η W I H 二曱基石夕烧 Dimethylsi lane (SiH2(CH3)2), CHs I H ™ Si - CH3 I H 三曱基石夕烧 Trimethylsilane (SiH(CH3)3), CH3 I • H — Si — CH3 I CH3 四曱基石夕烧 Tetramethylsilane (Si(CH3)4), CH3 I CHS - Si - CH3 1 ch3 一甲基三甲氧基石夕垸Monomethyltrimethoxysilane (Si(CH3)(0CH3)3), 2060-6979-PF 49 200537644 CH3 I CHsO - Si ~ 0〇H^ OCH. 一 甲 一田知 一 土 氧基石夕烧 Dimethy ldimethoxysi lane (Si(CH3)2(OCH〇2),或 CH3 5 I CH3〇 - Si - o〇h3 CHa
    Trimethyl monomethoxysilane 三甲基一甲氧基矽烷 (Si(CH〇3(OCH3)) CHa CHsO 一 Si - CHs CH3 14·如申請專利範圍第1]L項所述之沉積方法,其中該 第一含石夕有機化合物係擇自由下述所組成之族群: 土衣四石夕氣燒0ctamethylcyclotetrasiloxane (OMCTS: ((〇Ha)2)4Si4〇4)). OH3 CH3 I I GH3 — Si — 0 —Si 〜Chb ί I ο ο I I CH3-Si_ 〇 - Si-CH3 I I CH3 ch3 四曱土 % 四矽氧烷 tetramethylcyclotetrasil (TMCTS: ((CH3H)4Si4〇4));以及 2060-6979-PF 50 200537644 Η Η I I CH3 — Si — O—Si — CH3 I I 0 0 1 I CH3-Si-〇-Si-CH3 I I Η H 四曱氧基四甲基環四矽氧 tetramethoxytetramethy1cyclotetrasi1 oxane (TMTMCTS: • ((OCH3)(CH3))4Si4〇4)。 OCHs OCHs I I CHs- Si - 0 - Si —CH3 I I 0 0 1 I CH3 — Si — 0 —Si — Chh I I OChU OCH3 o 15. 種半導體裝置之製造方法,包括一絕緣層其埋 •藏有以銅金屬為主成份之金屬線,其中該絕緣層係由低介 電常數之絕緣層組成並根據專利範圍第n項之方法沉積 而成。 1 6 ·如申請專利範圍第1 5項所述之半導體裝置之製 造方法,其中該絕緣層係一阻障絕緣層並與該以銅金屬為 主成伤之金屬線連接。 1 7 ·如申凊專利範圍第15項所述之半導體裝置之製 造方法,其中該絕緣層係一主要絕緣層並透過一阻障絕緣 2060-6979-PF 51 200537644 層形成於該以銅金屬為主成份之金屬線上方。 1 8.如申請專利範圍第1 5項所述之半導體裝置之製 造方法,其中該絕緣層組成一金屬層間絕緣層以夾層由銅 金屬為主成分之金屬線於其中。
    2060-6979-PF 52
TW094109544A 2004-03-31 2005-03-28 Deposition method and semiconductor device TW200537644A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004103466A JP2005294333A (ja) 2004-03-31 2004-03-31 成膜方法及び半導体装置

Publications (1)

Publication Number Publication Date
TW200537644A true TW200537644A (en) 2005-11-16

Family

ID=34880034

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094109544A TW200537644A (en) 2004-03-31 2005-03-28 Deposition method and semiconductor device

Country Status (6)

Country Link
US (1) US20050221622A1 (zh)
EP (1) EP1583142A3 (zh)
JP (1) JP2005294333A (zh)
KR (1) KR100658479B1 (zh)
CN (1) CN100347831C (zh)
TW (1) TW200537644A (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3616605B2 (ja) * 2002-04-03 2005-02-02 沖電気工業株式会社 半導体装置
EP1845100A4 (en) * 2005-01-31 2010-06-02 Tosoh Corp CYCLIC SILOXANE COMPOUND, FILMOGENIC MATERIAL CONTAINING SILICON AND USE THEREOF
US8513448B2 (en) 2005-01-31 2013-08-20 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
US8715791B2 (en) 2005-09-13 2014-05-06 Renesas Electronics Corporation Method for forming porous insulating film and semiconductor device
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
JP5170445B2 (ja) * 2007-02-14 2013-03-27 Jsr株式会社 ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US9330974B2 (en) * 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
JP5946361B2 (ja) * 2011-11-30 2016-07-06 株式会社Adeka 1,3−ジフルオロジシロキサン化合物の製造方法
CN103130825B (zh) * 2011-11-30 2016-12-21 株式会社艾迪科 1,3‑二氟二硅氧烷化合物的制造方法
KR102113605B1 (ko) * 2013-07-26 2020-05-22 엘지디스플레이 주식회사 유기 발광 다이오드 표시 장치의 제조 방법
CN104752633A (zh) * 2013-12-31 2015-07-01 中国科学院微电子研究所 一种薄膜封装方法
US10262892B2 (en) * 2016-11-08 2019-04-16 Globalfoundries Inc. Skip via structures
KR20210039489A (ko) * 2018-08-29 2021-04-09 어플라이드 머티어리얼스, 인코포레이티드 비-uv 고경도 저 k 막 증착
CN110828578B (zh) * 2019-10-16 2022-11-08 Tcl华星光电技术有限公司 薄膜晶体管及其制备方法与显示装置
CN112779519A (zh) * 2019-11-11 2021-05-11 夏泰鑫半导体(青岛)有限公司 低介电常数的氧化硅膜层及其制备方法及半导体元器件
CN116157552A (zh) * 2020-07-24 2023-05-23 弗萨姆材料美国有限责任公司 环硅氧烷和由其制备的膜
CN116490640A (zh) * 2020-10-20 2023-07-25 弗萨姆材料美国有限责任公司 烷氧基二硅氧烷和由其制备的致密有机硅膜
WO2022230944A1 (ja) * 2021-04-29 2022-11-03 東ソー株式会社 平坦化膜の製造方法、平坦化膜用材料および平坦化膜

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5395908A (en) * 1994-06-20 1995-03-07 Dow Corning Corporation Method of preparing in situ reinforced silicone elastomer
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6420276B2 (en) * 2000-07-21 2002-07-16 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
US6835669B2 (en) * 2000-07-21 2004-12-28 Canon Sales Co., Inc. Film forming method, semiconductor device and semiconductor device manufacturing method
US6500752B2 (en) * 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
JP4167388B2 (ja) * 2000-09-27 2008-10-15 株式会社東芝 半導体装置の製造方法
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
KR100392840B1 (ko) * 2000-12-02 2003-07-28 주식회사 우광케미칼 저온 플라즈마 중합법을 이용한 중합박막의 형성방법
JP3545364B2 (ja) * 2000-12-19 2004-07-21 キヤノン販売株式会社 半導体装置及びその製造方法
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
EP1573086A4 (en) * 2002-09-18 2012-10-03 Air Prod & Chem ADDITIVES FOR PREVENTING DETERIORATION OF ALKYL-HYDROGEN SILOXANES
JP4032044B2 (ja) * 2003-06-17 2008-01-16 株式会社半導体プロセス研究所 成膜方法、半導体装置の製造方法及び半導体装置
JP3984611B2 (ja) * 2004-02-13 2007-10-03 松下電器産業株式会社 有機無機ハイブリッド絶縁膜の形成方法

Also Published As

Publication number Publication date
EP1583142A2 (en) 2005-10-05
KR100658479B1 (ko) 2006-12-19
JP2005294333A (ja) 2005-10-20
US20050221622A1 (en) 2005-10-06
KR20060044992A (ko) 2006-05-16
CN100347831C (zh) 2007-11-07
CN1677625A (zh) 2005-10-05
EP1583142A3 (en) 2007-05-02

Similar Documents

Publication Publication Date Title
TW200537644A (en) Deposition method and semiconductor device
CN1787881B (zh) 超低介电常数的SiCOH薄膜及其制造方法
CN100386472C (zh) 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
CN100437933C (zh) 改善层间附着的方法
TW432476B (en) A silicon carbide deposition for use as a barrier layer and an etch stop
TW535238B (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
TW544919B (en) Manufacturing method of semiconductor device
JP4755831B2 (ja) 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
KR101406154B1 (ko) 산소 함유 전구체를 사용한 유전체 장벽 증착
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
TW200809971A (en) Methods to form SiCOH or SiCNH dielectrics and structures including the same
KR20070004847A (ko) 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법
KR20050010867A (ko) 유전 물질 및 beol 상호 접속 구조체
JP2004312041A (ja) 低誘電率材料およびcvdによる処理方法
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
TWI294147B (en) Deposition method, method of manufacturing semiconductor device, and semiconductor device
TWI234843B (en) Semiconductor manufacturing device and the manufacturing method for the same
JP2005045058A (ja) 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP6918386B1 (ja) 絶縁膜の製造方法