SG93898A1 - Porous materials - Google Patents

Porous materials

Info

Publication number
SG93898A1
SG93898A1 SG200005620A SG200005620A SG93898A1 SG 93898 A1 SG93898 A1 SG 93898A1 SG 200005620 A SG200005620 A SG 200005620A SG 200005620 A SG200005620 A SG 200005620A SG 93898 A1 SG93898 A1 SG 93898A1
Authority
SG
Singapore
Prior art keywords
porous materials
porous
materials
Prior art date
Application number
SG200005620A
Other languages
English (en)
Inventor
S Allen Craig
Annan Nikoi
M Blankenship Robert
K Gallagher Michael
H Gore Robert
A Lamola Angelo
You Yujian
Original Assignee
Shipley Co Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shipley Co Llc filed Critical Shipley Co Llc
Publication of SG93898A1 publication Critical patent/SG93898A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J9/00Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof
    • C08J9/26Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof by elimination of a solid phase from a macromolecular composition or article, e.g. leaching out
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2201/00Foams characterised by the foaming process
    • C08J2201/04Foams characterised by the foaming process characterised by the elimination of a liquid or solid component, e.g. precipitation, leaching out, evaporation
    • C08J2201/046Elimination of a polymeric phase
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0313Organic insulating material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/96Porous semiconductor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Organic Insulating Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Inorganic Insulating Materials (AREA)
SG200005620A 1999-10-01 2000-09-30 Porous materials SG93898A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15740899P 1999-10-01 1999-10-01
US09/460,326 US6420441B1 (en) 1999-10-01 1999-12-10 Porous materials

Publications (1)

Publication Number Publication Date
SG93898A1 true SG93898A1 (en) 2003-01-21

Family

ID=26854104

Family Applications (1)

Application Number Title Priority Date Filing Date
SG200005620A SG93898A1 (en) 1999-10-01 2000-09-30 Porous materials

Country Status (8)

Country Link
US (2) US6420441B1 (de)
EP (1) EP1088848B1 (de)
JP (1) JP4512250B2 (de)
KR (1) KR100674112B1 (de)
CN (1) CN1181140C (de)
DE (1) DE60025107T2 (de)
SG (1) SG93898A1 (de)
TW (1) TWI268283B (de)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1141128B1 (de) * 1998-11-24 2006-04-12 Dow Global Technologies Inc. Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
US7276788B1 (en) 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US6335539B1 (en) * 1999-11-05 2002-01-01 International Business Machines Corporation Method for improving performance of organic semiconductors in bottom electrode structure
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6413827B2 (en) * 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6891237B1 (en) * 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
KR100841597B1 (ko) * 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
TW588072B (en) 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6787601B2 (en) * 2001-03-26 2004-09-07 Shipley Company, L.L.C. Polymer synthesis
US6903175B2 (en) * 2001-03-26 2005-06-07 Shipley Company, L.L.C. Polymer synthesis and films therefrom
US6998148B1 (en) * 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
US20030006477A1 (en) * 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
JP2003131001A (ja) 2001-05-25 2003-05-08 Shipley Co Llc 多孔性光学物質
KR20020095103A (ko) * 2001-06-11 2002-12-20 제이에스알 가부시끼가이샤 실리카막의 형성 방법, 실리카막, 절연막 및 반도체 장치
AU2002345679A1 (en) * 2001-06-15 2003-01-02 Grain Processing Corporation Biodegradable sorbents
DE10130601B4 (de) 2001-06-26 2008-08-14 Qimonda Ag Substanz und Verfahren zur Herstellung einer porösen Schicht unter Verwendung der Substanz
DE10153386A1 (de) * 2001-10-30 2003-05-15 Basf Ag Teilchenförmiger, teil- oder vollvernetzter Kautschuk und dessen Verwendung
US6852367B2 (en) * 2001-11-20 2005-02-08 Shipley Company, L.L.C. Stable composition
US6661642B2 (en) 2001-11-26 2003-12-09 Shipley Company, L.L.C. Dielectric structure
US6819540B2 (en) 2001-11-26 2004-11-16 Shipley Company, L.L.C. Dielectric structure
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7091287B2 (en) * 2001-12-27 2006-08-15 Lg Chem, Ltd. Nanopore forming material for forming insulating film for semiconductors and low dielectric insulating film comprising the same
US20030162890A1 (en) * 2002-02-15 2003-08-28 Kalantar Thomas H. Nanoscale polymerized hydrocarbon particles and methods of making and using such particles
US6890703B2 (en) * 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
JP3957154B2 (ja) * 2002-03-19 2007-08-15 富士通株式会社 低誘電率膜形成用組成物、低誘電率膜及びその製造方法、並びに半導体装置
US6936552B2 (en) * 2002-04-08 2005-08-30 Matsushita Electric Industrial Co., Ltd. Method for synthesizing polymeric material, method for forming polymer thin film and method for forming interlayer insulating film
AU2002309807A1 (en) 2002-04-10 2003-10-27 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US7381442B2 (en) 2002-04-10 2008-06-03 Honeywell International Inc. Porogens for porous silica dielectric for integral circuit applications
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
KR20030094099A (ko) * 2002-06-03 2003-12-11 쉬플리 캄파니, 엘.엘.씨. 전자 디바이스 제조
KR20030093721A (ko) * 2002-06-05 2003-12-11 삼성전자주식회사 금속간 절연막의 패턴을 형성하는 방법
US6638853B1 (en) * 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
JP4574145B2 (ja) 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
JP2006500769A (ja) * 2002-09-20 2006-01-05 ハネウェル・インターナショナル・インコーポレーテッド 低k材料用の中間層接着促進剤
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
EP1563952B1 (de) * 2002-11-06 2013-06-26 Nomura Plating Co., Ltd Oberflächenbehandlungsverfahren für vakuumbeälter oder -rohr
JP3668222B2 (ja) * 2002-11-07 2005-07-06 株式会社東芝 半導体装置の製造方法
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
JP2004292636A (ja) * 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
JP4574124B2 (ja) * 2003-05-01 2010-11-04 Azエレクトロニックマテリアルズ株式会社 コーティング組成物、多孔質シリカ質膜、多孔質シリカ質膜の製造方法及び半導体装置
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP4344841B2 (ja) * 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
US20040253442A1 (en) * 2003-06-11 2004-12-16 Ervin Mubarekyan Method of forming a nanoporous film and compositions useful in such methods
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
DE20321548U1 (de) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine
US20050089642A1 (en) * 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US20050106333A1 (en) * 2003-11-18 2005-05-19 Lehmann Maria J. Anti-reflective optical film for display devices
US20050196552A1 (en) * 2003-11-18 2005-09-08 Lehmann Maria J. Anti-reflective optical film for display devices
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
WO2005085496A2 (en) * 2004-03-03 2005-09-15 Energenius, Inc. Ferroelectric thin film composites with improved top contact adhesion and devices containing the same
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
ATE526359T1 (de) * 2004-06-10 2011-10-15 Dow Global Technologies Llc Verfahren zur herstellung eines nanoporösen dielektrischen films
US7303994B2 (en) * 2004-06-14 2007-12-04 International Business Machines Corporation Process for interfacial adhesion in laminate structures through patterned roughing of a surface
US7781141B2 (en) 2004-07-02 2010-08-24 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
TWI323728B (en) * 2004-08-31 2010-04-21 Ind Tech Res Inst Polymer film with three-dimensional nanopores and fabrication method thereof
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US8263316B2 (en) * 2004-10-01 2012-09-11 Rohm And Haas Electronic Materials Llc Electronic device manufacture
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7179755B2 (en) * 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
EP1693484A3 (de) 2005-02-15 2007-06-20 Rohm and Haas Electronic Materials, L.L.C. Plattierungsverfahren
US7482389B2 (en) 2005-04-20 2009-01-27 International Business Machines Corporation Nanoporous media with lamellar structures
US7960442B2 (en) 2005-04-20 2011-06-14 International Business Machines Corporation Nanoporous media templated from unsymmetrical amphiphilic porogens
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7723438B2 (en) * 2005-04-28 2010-05-25 International Business Machines Corporation Surface-decorated polymeric amphiphile porogens for the templation of nanoporous materials
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7846463B2 (en) * 2005-05-11 2010-12-07 Grain Processing Corporation Pest control composition and method
US7799472B2 (en) * 2005-05-16 2010-09-21 Turgut M. Gür High temperature direct coal fuel cell
JP5147052B2 (ja) * 2005-05-24 2013-02-20 国立大学法人京都工芸繊維大学 高分子多孔質体およびその製造法
TWI270901B (en) * 2005-09-16 2007-01-11 Ctech Technology Corp Solid capacitor and fabrication method thereof
TWI328622B (en) * 2005-09-30 2010-08-11 Rohm & Haas Elect Mat Leveler compounds
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
WO2007047822A2 (en) * 2005-10-20 2007-04-26 Advanced Technology Materials, Inc. Nanoporous low-k films with infiltrated porosity
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
FR2893761B1 (fr) * 2005-11-23 2008-05-09 Commissariat Energie Atomique Procede de fabrication de films dielectriques poreux, a faible permittivite
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP2007279459A (ja) * 2006-04-07 2007-10-25 Asahi Kasei Corp 屈折率制御薄膜
FR2903411B1 (fr) * 2006-07-06 2012-11-02 Soc Tech Michelin Nanoparticules de polymere vinylique fonctionnalise
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
JP4437820B2 (ja) * 2007-01-04 2010-03-24 富士通マイクロエレクトロニクス株式会社 低誘電率膜の製造方法
US7723226B2 (en) * 2007-01-17 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
WO2008111393A1 (ja) * 2007-03-13 2008-09-18 Kaneka Corporation 中空シリコーン系微粒子と有機高分子とからなる樹脂組成物および層間絶縁膜
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
KR100950063B1 (ko) 2007-11-27 2010-03-31 전남대학교산학협력단 아미노알킬실록산계 수지 조성물 및 이를 이용한 전자소자용 전하 트랩 막
US8058183B2 (en) * 2008-06-23 2011-11-15 Applied Materials, Inc. Restoring low dielectric constant film properties
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use
US9138308B2 (en) 2010-02-03 2015-09-22 Apollo Endosurgery, Inc. Mucosal tissue adhesion via textured surface
CN102971018B (zh) 2010-05-11 2016-02-03 阿勒根公司 致孔剂组合物、制备方法及用途
WO2014022657A1 (en) 2012-08-02 2014-02-06 Allergan, Inc. Mucosal tissue adhesion via textured surface
WO2014052724A1 (en) 2012-09-28 2014-04-03 Allergan, Inc. Porogen compositions, methods of making and uses
US9012912B2 (en) * 2013-03-13 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wafers, panels, semiconductor devices, and glass treatment methods
JP6373148B2 (ja) * 2014-09-29 2018-08-15 日東電工株式会社 シリコーン多孔質体及びシリコーン多孔質体の製造方法
EP3194502A4 (de) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxanformulierungen und beschichtungen für optoelektronische anwendungen
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10544330B2 (en) 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials
WO2018143371A1 (ja) * 2017-02-06 2018-08-09 富士フイルム株式会社 塗布組成物、反射防止膜及びその製造方法、積層体、並びに、太陽電池モジュール
US10962791B1 (en) 2018-03-22 2021-03-30 Facebook Technologies, Llc Apparatuses, systems, and methods for fabricating ultra-thin adjustable lenses
US11245065B1 (en) 2018-03-22 2022-02-08 Facebook Technologies, Llc Electroactive polymer devices, systems, and methods
US20200399413A1 (en) * 2018-03-23 2020-12-24 Sekisui Kasei Co., Ltd. Vinyl-based resin particles and method for manufacturing same
US11048075B1 (en) 2018-03-29 2021-06-29 Facebook Technologies, Llc Optical lens assemblies and related methods
WO2020054416A1 (ja) * 2018-09-11 2020-03-19 積水化成品工業株式会社 ビニル系樹脂粒子及びその製造方法
US10860101B1 (en) 2018-11-21 2020-12-08 Facebook Technologies, Llc Systems and methods using patterned nanovoids for actuation and deformation sensing
US11139756B2 (en) 2018-12-11 2021-10-05 Facebook Technologies, Llc Transducers with electrostatic repulsion and associated systems and methods
US11233189B2 (en) 2018-12-11 2022-01-25 Facebook Technologies, Llc Nanovoided tunable birefringence
US11256331B1 (en) 2019-01-10 2022-02-22 Facebook Technologies, Llc Apparatuses, systems, and methods including haptic and touch sensing electroactive device arrays
US20220162414A1 (en) * 2019-03-26 2022-05-26 Rutgers, The State University Of New Jersey Porous Epoxy Nanocomposite Monoliths
US11180670B2 (en) * 2019-03-28 2021-11-23 Lawrence Livermore National Security, Llc Three-dimensional porous siloxanes using leachable porogen particles

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60137942A (ja) * 1983-12-26 1985-07-22 Matsushita Electric Ind Co Ltd 微孔質膜の製造方法
US5700844A (en) * 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
WO1999003926A1 (fr) * 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3883452A (en) 1973-05-15 1975-05-13 Du Pont Composition of polyimide powder and polyformaldehyde
JPS57145101A (en) 1980-12-30 1982-09-08 Nat Res Dev Polymerization
JPH0784538B2 (ja) 1987-05-11 1995-09-13 エヌオーケー株式会社 多孔質体の製造方法
JP2531906B2 (ja) 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP3274487B2 (ja) 1992-01-30 2002-04-15 東レ・ダウコーニング・シリコーン株式会社 発泡性シリコーンゴム組成物およびシリコーンゴム発泡体の製造方法
US5384376A (en) 1992-12-23 1995-01-24 Eastman Kodak Company Organic/inorganic hybrid materials
MY112945A (en) 1994-12-20 2001-10-31 Ibm Electronic devices comprising dielectric foamed polymers
US5726211A (en) 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5804607A (en) 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US5962113A (en) 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
EP0881668A3 (de) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Abscheidung eines elektrisch isolierenden Dünnfilms mit einer niedrigen Dielektrizitätskonstante
US5883219A (en) 1997-05-29 1999-03-16 International Business Machines Corporation Integrated circuit device and process for its manufacture
JPH11217458A (ja) * 1998-02-04 1999-08-10 Hitachi Chem Co Ltd 多孔質膜、その製造法及び物品
JPH11322992A (ja) 1998-05-18 1999-11-26 Jsr Corp 多孔質膜
RU2195050C2 (ru) * 1998-06-05 2002-12-20 Джорджиэ Тек Рисеч Копэрейшн Способ получения пористой изоляционной композиции (варианты), композиция, используемая для получения пористого изоляционного материала (варианты), и полупроводниковое устройство
US6093636A (en) 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
JP2000044719A (ja) 1998-07-22 2000-02-15 Minnesota Mining & Mfg Co <3M> 多孔質ポリイミド、その前駆体および多孔質ポリイミドの製造方法
WO2000018847A1 (fr) 1998-09-25 2000-04-06 Catalysts & Chemicals Industries Co., Ltd. Fluide de revetement permettant de former une pellicule protectrice a base de silice dotee d'une faible permittivite et substrat recouvert d'une pellicule protectrice de faible permittivite
EP1141128B1 (de) 1998-11-24 2006-04-12 Dow Global Technologies Inc. Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
EP1169491B1 (de) 1999-04-14 2005-09-21 AlliedSignal Inc. Durch polymerabbau erhältliches nano-poröses material mit niedriger dielektrizitätskonstante
US6146749A (en) 1999-05-03 2000-11-14 Jsr Corporation Low dielectric composition, insulating material, sealing material, and circuit board
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60137942A (ja) * 1983-12-26 1985-07-22 Matsushita Electric Ind Co Ltd 微孔質膜の製造方法
US5700844A (en) * 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
WO1999003926A1 (fr) * 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation

Also Published As

Publication number Publication date
DE60025107D1 (de) 2006-02-02
EP1088848B1 (de) 2005-12-28
TWI268283B (en) 2006-12-11
KR20010050815A (ko) 2001-06-25
US6420441B1 (en) 2002-07-16
US6602804B2 (en) 2003-08-05
DE60025107T2 (de) 2006-06-22
JP2001210142A (ja) 2001-08-03
KR100674112B1 (ko) 2007-01-26
CN1181140C (zh) 2004-12-22
US20030004218A1 (en) 2003-01-02
CN1297963A (zh) 2001-06-06
JP4512250B2 (ja) 2010-07-28
EP1088848A1 (de) 2001-04-04

Similar Documents

Publication Publication Date Title
SG93898A1 (en) Porous materials
SG100647A1 (en) Porous materials
SG85204A1 (en) Sintered materials
HU9904224D0 (en) Form separeting materials
IL148218A0 (en) New phenylpiperazines
GB2355017B (en) Porous element
HK1030332A2 (en) ???
GB0030217D0 (en) Structure
GB2356205B (en) Building materials
GB9905324D0 (en) Support ststem
AU140253S (en) Protractor
GB9926080D0 (en) Structure
EP1231865A4 (de) Periotom
DE60026493D1 (en) Blattförmiges photohärtbares material
EP1200546A4 (de) Riechstoffe
GB0005707D0 (en) Derivatized porous silicon
GB9926415D0 (en) Structural support
GB9921851D0 (en) Structural elements
GB9913908D0 (en) Willheel support
GB9904170D0 (en) Support
GB9919544D0 (en) Support
AU140373S (en) Bumbag
GB9929734D0 (en) Structural materials
GB0003373D0 (en) Porous composite materials
GB9914010D0 (en) Low density materials