SG162757A1 - Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition - Google Patents

Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Info

Publication number
SG162757A1
SG162757A1 SG201003959-2A SG2010039592A SG162757A1 SG 162757 A1 SG162757 A1 SG 162757A1 SG 2010039592 A SG2010039592 A SG 2010039592A SG 162757 A1 SG162757 A1 SG 162757A1
Authority
SG
Singapore
Prior art keywords
reflective coating
removal composition
metal
sacrificial anti
coating cleaning
Prior art date
Application number
SG201003959-2A
Other languages
English (en)
Inventor
Melissa K Rath
David D Bernhard
David W Minsek
Thomas H Baum
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of SG162757A1 publication Critical patent/SG162757A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • C11D2111/22
SG201003959-2A 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition SG162757A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07

Publications (1)

Publication Number Publication Date
SG162757A1 true SG162757A1 (en) 2010-07-29

Family

ID=37498777

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201504423QA SG10201504423QA (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
SG201003959-2A SG162757A1 (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG10201504423QA SG10201504423QA (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Country Status (9)

Country Link
US (2) US8951948B2 (zh)
EP (2) EP2759881A1 (zh)
JP (1) JP2008546036A (zh)
KR (3) KR101477455B1 (zh)
CN (2) CN102981377B (zh)
IL (1) IL187956A0 (zh)
SG (2) SG10201504423QA (zh)
TW (3) TWI516574B (zh)
WO (1) WO2006133253A1 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TW200709294A (en) * 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007044447A2 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TWI443191B (zh) * 2007-08-08 2014-07-01 Arakawa Chem Ind Lead-free solder flux removal detergent composition and lead-free solder flux removal method
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TWI558818B (zh) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
SG189371A1 (en) * 2010-12-16 2013-05-31 Kyzen Corp Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103975052B (zh) 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN105102584B (zh) 2013-03-04 2018-09-21 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
US20170200601A1 (en) * 2014-06-30 2017-07-13 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
JP6849595B2 (ja) * 2014-12-22 2021-03-24 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP6504911B2 (ja) * 2015-05-19 2019-04-24 キヤノン株式会社 液体吐出ヘッドの製造方法
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
TW201802238A (zh) * 2016-03-24 2018-01-16 艾萬拓有限責任公司 與鎢相容且具金屬氮化物選擇性之非水性蝕刻劑及清潔劑
MX2018013643A (es) * 2016-05-10 2019-04-01 Atotech Deutschland Gmbh Composicion de desprendimiento no acuosa y metodo de desprendimiento de un recubrimiento organico de un sustrato.
KR102160019B1 (ko) * 2016-09-29 2020-09-28 후지필름 가부시키가이샤 처리액 및 적층체의 처리 방법
JP2020504460A (ja) * 2017-01-18 2020-02-06 インテグリス・インコーポレーテッド セリア粒子を表面から除去するための組成物及び方法
WO2019040394A1 (en) * 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. CLEANING COMPOSITIONS
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
JP7137586B2 (ja) * 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
US6306807B1 (en) 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
WO2000064828A1 (fr) * 1999-04-27 2000-11-02 Hiroshi Miwa Composition de gravure du verre et procede de givrage utilisant cette composition
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
TWI288777B (en) * 2000-04-26 2007-10-21 Daikin Ind Ltd Detergent composition
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
WO2002053508A1 (fr) * 2000-12-27 2002-07-11 Hiroshi Miwa Procede de preparation de verre decoratif au moyen d'une composition de gravure sur verre
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7419945B2 (en) * 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
WO2003104901A2 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning and arc remover compositions
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
EP1536291A4 (en) * 2002-08-22 2008-08-06 Daikin Ind Ltd REMOVING SOLUTION
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CA2590325A1 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
EP1824945A4 (en) * 2004-11-19 2008-08-06 Honeywell Int Inc CHEMICALS FOR SELECTIVE REMOVAL FOR SEMICONDUCTOR APPLICATIONS, METHODS OF MANUFACTURE AND IDOINE USES
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application

Also Published As

Publication number Publication date
US20080242574A1 (en) 2008-10-02
CN101233456B (zh) 2013-01-02
CN102981377B (zh) 2014-11-12
CN102981377A (zh) 2013-03-20
TW201336973A (zh) 2013-09-16
KR20080019049A (ko) 2008-02-29
EP1891482A4 (en) 2013-01-09
TWI408212B (zh) 2013-09-11
KR101332501B1 (ko) 2013-11-27
TWI516574B (zh) 2016-01-11
WO2006133253A1 (en) 2006-12-14
TWI622639B (zh) 2018-05-01
EP1891482A1 (en) 2008-02-27
US9422513B2 (en) 2016-08-23
TW201610104A (zh) 2016-03-16
JP2008546036A (ja) 2008-12-18
TW200708597A (en) 2007-03-01
EP2759881A1 (en) 2014-07-30
SG10201504423QA (en) 2015-07-30
KR101477455B1 (ko) 2014-12-29
CN101233456A (zh) 2008-07-30
US8951948B2 (en) 2015-02-10
IL187956A0 (en) 2008-03-20
EP1891482B1 (en) 2014-04-30
KR20130069825A (ko) 2013-06-26
KR20140007020A (ko) 2014-01-16
US20150094248A1 (en) 2015-04-02
KR101431406B1 (ko) 2014-08-18

Similar Documents

Publication Publication Date Title
SG162757A1 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TW200720862A (en) Metals compatible photoresist and/or sacrificial antireflective coating removal composition
TW200718775A (en) Composition and method for removing thick film photoresist
TW200629012A (en) Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2005057281A3 (en) Resist, barc and gap fill material stripping chemical and method
WO2015187675A3 (en) Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
WO2006036368A3 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
WO2007120259A3 (en) Formulations for removing copper-containing post-etch residue from microelectronic devices
TW200639595A (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200710205A (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
TW200940706A (en) Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
WO2015053800A3 (en) Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
JP2008509554A5 (zh)
SG136966A1 (en) Composition for stripping and cleaning and use thereof
TW200801854A (en) Composition and method for photoresist removal
TW200617623A (en) Composition for removing a photoresist residue and polymer residue, and residue removal process using same
WO2010039936A3 (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
EP1752829A3 (en) Polymer-stripping composition
TW200732864A (en) Composition for removing residue of a wiring substrate, and washing method thereof
TW200721297A (en) Method for cleaning a semiconductor structure and chemistry thereof
WO2006121580A3 (en) Compositions for the removal of post-etch and ashed photoresist residues and bulk photoresist
WO2006052692A3 (en) Post etch cleaning composition for use with substrates having aluminum
TW200634450A (en) Resist stripper and residue remover for cleaning copper surfaces in semiconductor processing
WO2011031089A3 (ko) 세정액 조성물
TW200734836A (en) Cleaning composition for removing post-dry-etch residues