KR960015721A - 플라즈마 막형성방법과 장치 및 플라즈마 처리장치 - Google Patents

플라즈마 막형성방법과 장치 및 플라즈마 처리장치 Download PDF

Info

Publication number
KR960015721A
KR960015721A KR1019940016829A KR19940016829A KR960015721A KR 960015721 A KR960015721 A KR 960015721A KR 1019940016829 A KR1019940016829 A KR 1019940016829A KR 19940016829 A KR19940016829 A KR 19940016829A KR 960015721 A KR960015721 A KR 960015721A
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
processing
gas
workpiece
Prior art date
Application number
KR1019940016829A
Other languages
English (en)
Other versions
KR100274307B1 (ko
Inventor
슈우이치 이시츠카
고헤이 가와무라
지로 하타
아키라 스즈키
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5226418A external-priority patent/JPH0758012A/ja
Priority claimed from JP6056752A external-priority patent/JPH0778811A/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR960015721A publication Critical patent/KR960015721A/ko
Application granted granted Critical
Publication of KR100274307B1 publication Critical patent/KR100274307B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 막형성장치는, 처리실내에 처리가스를 공급하는 가스공급수단과, 처리실내의 피처리물에 대향된 제1전극과, 피처리물을 사이에 두고 제1전극에 대향되고, 평면형상의 코일로 이루어진 제2전극과, 처리실내의 압력을 0.1Torr 이하로 유지하는 압력조정수단과, 피처리물을 소정온도로 가열하는 가열수단과, 제1 및 제2전극간에 고주파전력을 인가하고, 그 결과, 처리가스가 플라즈마화되어서 플라즈마의 이온 또는 활성종의 반응에 의하여 피처리물의 표면에 박막이 형성되는 인가수단을 구비하고 있다. 한쌍의 전극간에 고주파전력을 인가하면 고주파 전계가 형성되는데, 전국의 한편이 평면형상의 코일이기 때문에, 자장이 형성된다. 그 결과, 처리가스는 전기에너지와 자기에너지에 의하여 플라즈마화한다. 따라서, 처리가스는 낮은 압력에서 플라즈마화하고, 그 압력이 0.1Torr 이하로 되어 있어도, 코일도의 플라즈마가 생성된다. 그 때문에, 피처리물 표면에 있어서의 이온 조사효율이 높고, 불순물의 제거효과가 높다.

Description

플라즈마 막형성방법과 장치 및 플라즈마 처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 제1실시예에 관한 플라즈마 막형성장치를 나타내는 개략단면도,
제2도는 제1도에 도시하는 플라즈마 막형성장치의 요부를 나타내는 개략사시도,
제3도는 고주파전력의 인가방식의 다른 예를 나타내는 설명도,
제4도는 고주파전력의 인가방식의 또 다른 예를 나타내는 설명도,
제5도는 고주파전력의 인가방식의 또 다시 다른 예를 나타내는 설명도.

Claims (19)

  1. 기밀한 처리실내에 처리가스를 공급하는 가스공급수단과, 처리실내의 피처리들을 얹어놓는 제1전극과, 피처리물을 사이에 두고 제1전극에 대향되고, 평면형상의 코일로 이루어진 제2전극과, 처리실내의 압력을 0.1Torr 이하로 유지하는 압력조정수단과, 피처리물을 소정온도로 가열하는 가열수단과, 상기 제1 및 제2전극 간에 고주파전력을 인가하고, 처리가스를 플라즈마화하여서, 플라즈마의 이온 또는 활성종의 반응에 의하여 피처리물의 표면에 박막을 형성하는 인가수단을 구비하는 플라즈마 막형성장치.
  2. 제1항에 있어서, 제1전극은, 피처리물의 얹어놓는대를 겸용하고, 제2전극은, 처리실의 외벽에 인접하여서 배치되고, 이 제2전극이 인접하는 외벽의 부분은, 유전체로 형성되어 있는 플라즈마 막형성장치.
  3. 제1항에 있어서, 제2전극은, 그, 내부를 냉각제를 유통시키는 냉각수단을 포함하고 있는 플라즈마 막형성 장치.
  4. 기밀한 처리실내에 처리가스를 공급하고, 처리실내의 압력을 0.1Torr 이하로 유지하고, 피처리물을 소정 온도로 가열하는 공정과, 처리실내의 피처리물을 얹어놓는 제1전극과, 피처리물을 사이에 두고 제l전극에 대향배치되고 또한 평면형상의 코일로 이루어진 제2전극과의 사이에 고주파전극을 인가하고, 처리가스를 플라즈마화하여서 플라즈마의 이온 또는 활성종의 반응에 의하여 피처리물의 표면에 박막을 형성하는 공정을 구비하는 플라즈마 막형성방법.
  5. 제4항에 있어서, 고주파를 인가하는 공정은, 플라즈마 막형성처리에 의하여, 피처리물의 오목부에 박막을 형성하여 이 오목부를 매립하는 공정과, 오목부에 형성된 박막의 표면에 이온에 의한 스퍼터링처리를 하는 공정을 포함하는 플라즈마 막형성방법.
  6. 기밀한 처리실내에 처리가스를 공급하는 가스공급수단과, 처리실내에서 피처리물을 얹어놓는 얹어놓는대와, 이 얹어놓는대에 대향배치된 유도수단과, 처리실내의 압력을 0.1Torr 이하로 유지하는 압력조정수단과, 피처리물을 소정온도로 가열하는 가열수단과, 상기 유도수단에 고주파전류를 공급하고, 그 결과, 처리실내에 전자장이 형성되고, 이 전자장에 의하여 처리가스가 플라즈마화되어서 플라즈마의 이온 또는 활성종의 반응에 의하여 피처리물의 표면에 박막이 형성되는 고주파진류 공급수단을 구비하는 플라즈마 막형성장치.
  7. 제6항에 있어서, 상기 고주파전류 공급수단은, 얹어놓는부에 얹어놓인 피처리물에, 교류바이어스 또는 음의 전류를 인가하는 플라즈마 막형성장치.
  8. 처리실내에 처리가스를 공급하고, 처리실내의 압력을 0.1Torr 이하로 유지하고, 피처리물을 소정온도로 가열하는 공정과, 처리실내의 얹어놓는대에 대향된 유도수단에 고주파전류를 공급하고, 그 결과, 처리실내에 전자장이 형성되고, 이 전자장에 의하여 처리가스가 플라즈마화되어서 플라즈마의 이온 또는 활성종의 반응에 의하여 피처리물의 표면에 박막이 형성되는 공정을 구비하는 플라즈마 막형성방법.
  9. 제8항에 있어서, 불활성가스를 처리실내에 도입하고, 처리가스와 함께 플라즈마화하는 플라즈마 막형성 방법.
  10. 제8항에 있어서, 유기 실리콘소스를 함유하는 가스와, 산소원소를 함유하는 가스를 반응시켜서. 피처리물에 실리콘 산화막을 형성하는 플라즈마 막형성방법.
  11. 제8항에 있어서, 실란계의 가스와 질소원소를 함유하는 가스를 반응시켜서, 피처리물에 질화실리콘막을 형성하는 플라즈마 막형성방법.
  12. 제8항에 있어서, 고주파를 인가하는 공정은, 플라즈마 막형성처리에 의하여, 피처리물의 오목부에 박막을 형성하여서 이 오목부를 매립하는 공정과, 오목부에 형성된 박막의 표면에 이온에 의한 스퍼터링처리를 하는 공정을 포함하는 플라즈마 막형성방법.
  13. 기밀함 처리실내에서 피처리물을 얹어놓는 얹어놓는대와, 이 얹어놓는대에 대향 배치되고 또한 한 끝단이 개방되어 있는 평면형상의 코일과, 이 코일의 마른 끝단과, 얹어놓는대 또는 처리실의 용기와의 사이에 고주파를 인가하여 플라즈마를 발생시키고, 플라즈마의 이온 또는 활성종의 반응에 의하여 상기 피처리물에 플라즈마처리를 하는 인가수단을 구비하는 플라즈마 처리장치.
  14. 제13항에 있어서, 상기 코일은, 처리실의 외벽에 인접하여 배치되고, 이 코일이 인접하는 외벽의 부분은, 유전체로 형성되어 있는 플라즈마 처리장치.
  15. 제13항에 있어서, 처리실내의 입력을 10Torr∼10-6Torr의 범위로 유지하는 압력조정수단을 더욱 구비하고 있는 플라즈마 처리장치.
  16. 제13항에 있어서, 상기 처리실의 외벽의 바깥쪽에 배치되고, 또한, 처리실 내의 플라즈마 밀도를 높이기 위한 플라즈마 고밀도화수단을 더욱 구비하는 플라즈마 처리장치.
  17. 제16항에 있어서, 상기 플라즈마 고밀도화수단은, 처리실 바깥쪽에 감긴 코일과, 이 코일에 접속된 보조 고주파전원을 포함하고 있는 플라즈마 처리장치.
  18. 제16항에 있어서, 상기 플라즈마 고밀도화수단은, 처리실 바깥쪽에 감긴 코일과, 이 코일에 집속된 직류 전원을 포함하고 있는 플라즈마 처리장치.
  19. 제16항에 있어서, 상기 플라즈마 고밀도화수단은, 처리실 바깥쪽에 배치된 영구자석을 포함하고 있는 플라즈마 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940016829A 1993-07-13 1994-07-13 플라즈마 처리장치 KR100274307B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP19537993 1993-07-13
JP93-195379 1993-07-13
JP22641793 1993-08-19
JP93-226417 1993-08-19
JP93-226418 1993-08-19
JP5226418A JPH0758012A (ja) 1993-08-19 1993-08-19 半導体成膜平坦化方法
JP31737593 1993-11-24
JP93-317375 1993-11-24
JP94-56752 1994-03-01
JP6056752A JPH0778811A (ja) 1993-07-13 1994-03-01 プラズマ成膜方法

Publications (2)

Publication Number Publication Date
KR960015721A true KR960015721A (ko) 1996-05-22
KR100274307B1 KR100274307B1 (ko) 2000-12-15

Family

ID=27523318

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940016829A KR100274307B1 (ko) 1993-07-13 1994-07-13 플라즈마 처리장치

Country Status (2)

Country Link
US (1) US5531834A (ko)
KR (1) KR100274307B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100383256B1 (ko) * 2000-08-04 2003-05-09 주식회사 래디언테크 고밀도 플라즈마 에칭 설비
KR100393513B1 (ko) * 1999-09-09 2003-08-09 닛본 덴끼 가부시끼가이샤 플라즈마 장치 및 플라즈마 cvd 성막 방법
KR20200079995A (ko) * 2018-12-26 2020-07-06 세메스 주식회사 기판처리장치

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
US5650032A (en) * 1995-06-06 1997-07-22 International Business Machines Corporation Apparatus for producing an inductive plasma for plasma processes
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
AU6405496A (en) * 1995-06-29 1997-01-30 Lam Research Corporation A scalable helicon wave plasma processing device with a non-cylindrical source chamber
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5716451A (en) * 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
JPH09115903A (ja) * 1995-10-18 1997-05-02 Nec Corp 半導体装置の製造方法
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
JP3437376B2 (ja) * 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US5779807A (en) * 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5970907A (en) 1997-01-27 1999-10-26 Canon Kabushiki Kaisha Plasma processing apparatus
US6171896B1 (en) * 1997-02-03 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of forming shallow trench isolation by HDPCVD oxide
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US20010050267A1 (en) * 1997-08-26 2001-12-13 Hwang Jeng H. Method for allowing a stable power transmission into a plasma processing chamber
US6054390A (en) * 1997-11-05 2000-04-25 Chartered Semiconductor Manufacturing Ltd. Grazing incident angle processing method for microelectronics layer fabrication
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP2972707B1 (ja) 1998-02-26 1999-11-08 松下電子工業株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR20010034810A (ko) * 1998-04-21 2001-04-25 조셉 제이. 스위니 가변 플라즈마 전력을 사용하여 고종횡비를 갖는 갭의프로파일을 변형시키는 방법 및 장치
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6015595A (en) * 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6028286A (en) * 1998-12-30 2000-02-22 Lam Research Corporation Method for igniting a plasma inside a plasma processing reactor
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
CA2368180A1 (en) * 1999-06-24 2000-12-28 John Volin Cold-plasma deposition treatment of seeds and other living matter
EP1194032A1 (en) 1999-06-24 2002-04-10 Wisconsin Alumni Research Foundation Cold-plasma treatment of seeds to remove surface materials
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3727878B2 (ja) * 2001-11-14 2005-12-21 三菱重工業株式会社 金属膜作製装置
US6824363B2 (en) * 2001-12-31 2004-11-30 Tokyo Electron Limited Linear inductive plasma pump for process reactors
JP3854909B2 (ja) * 2002-08-06 2006-12-06 株式会社日立製作所 プラズマ処理装置
KR100465907B1 (ko) * 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
WO2004101844A1 (en) * 2002-12-18 2004-11-25 Cardinal Cg Company Plasma-enhanced film deposition
US20040200418A1 (en) * 2003-01-03 2004-10-14 Klaus Hartig Plasma spray systems and methods of uniformly coating rotary cylindrical targets
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US8974630B2 (en) * 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
KR100523851B1 (ko) * 2003-05-07 2005-10-27 학교법인 성균관대학 대면적처리용 내장형 선형안테나를 구비하는 유도결합플라즈마 처리장치
US7426900B2 (en) * 2003-11-19 2008-09-23 Tokyo Electron Limited Integrated electrostatic inductive coupling for plasma processing
US7464271B2 (en) * 2004-10-04 2008-12-09 Sony Corporation Systems and methods of providing content protection for digital video products
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
KR100599094B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 코일의 권선수 조절에 의한 전자기 유도 가속장치
US7141514B2 (en) * 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7214628B2 (en) 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
KR100845285B1 (ko) 2006-09-08 2008-07-09 삼성전자주식회사 플라즈마 생성장치 및 생성방법
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
KR101332295B1 (ko) 2007-04-30 2013-11-22 주성엔지니어링(주) 챔버의 내벽에 파우더가 증착되는 것을 방지하는기판처리장치
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
KR101124419B1 (ko) * 2009-02-18 2012-03-20 포항공과대학교 산학협력단 마이크로파 플라즈마 생성을 위한 휴대용 전력 공급 장치
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20120304934A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Porous ceramic gas distribution for plasma source antenna
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
KR101374784B1 (ko) 2012-05-22 2014-03-19 (주) 엔피홀딩스 유연한 실리콘 와이어 제조 장치
KR20140089458A (ko) * 2013-01-04 2014-07-15 피에스케이 주식회사 플라즈마 챔버 및 기판 처리 장치
US9186610B2 (en) 2013-03-12 2015-11-17 Camfil Usa, Inc. Roomside replaceable fan filter unit
KR20140137172A (ko) * 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
US10036361B2 (en) * 2014-08-12 2018-07-31 Imagineering, Inc. Ignition device
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100393513B1 (ko) * 1999-09-09 2003-08-09 닛본 덴끼 가부시끼가이샤 플라즈마 장치 및 플라즈마 cvd 성막 방법
KR100383256B1 (ko) * 2000-08-04 2003-05-09 주식회사 래디언테크 고밀도 플라즈마 에칭 설비
KR20200079995A (ko) * 2018-12-26 2020-07-06 세메스 주식회사 기판처리장치

Also Published As

Publication number Publication date
US5531834A (en) 1996-07-02
KR100274307B1 (ko) 2000-12-15

Similar Documents

Publication Publication Date Title
KR960015721A (ko) 플라즈마 막형성방법과 장치 및 플라즈마 처리장치
KR930008960A (ko) 반도체 기판의 제조방법 및 그 장치
KR940022689A (ko) 플라즈마 처리시스템 및 플라즈마 처리방법
EP0831516A3 (en) Device and method for processing a plasma to alter the surface of a substrate using neutrals
EP0413282A3 (en) Method and apparatus for producing magnetically-coupled planar plasma
EP0594706A4 (en) Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR920003435A (ko) 판상체 지지테이블 및 그것을 이용한 처리장치
KR920020614A (ko) 플라즈마를 이용하는 처리장치
CN101053066B (zh) 等离子体处理方法和等离子体处理设备
US4585541A (en) Plasma anodization system
DE3789163D1 (de) Vorrichtung zur Oberflächenbehandlung von Werkstücken.
KR900014639A (ko) 마이크로파 플라스마 에칭방법 및 장치
EP1144717A1 (en) Enhanced plasma mode, method, and system for plasma immersion ion implantation
JPH01302645A (ja) 放電装置
JP4443818B2 (ja) プラズマドーピング方法
JP4443819B2 (ja) プラズマドーピング方法
JPH08165563A (ja) 電子ビームアニール装置
JPH06280000A (ja) プラズマ表面処理方法および装置
JPS5867870A (ja) 磁界圧着マグネトロン形高速プラズマエッチングおよび反応性イオンエッチング装置
KR20130092901A (ko) 폴(Pole) 타입의 플라즈마 발생 안테나를 이용한 이온빔 소스 추출장치 및 가공물의 이온처리 장치
JPS6423537A (en) Plasma processing device
JP2656503B2 (ja) マイクロ波プラズマ処理方法
JPH0434928A (ja) ウェーハのプラズマ処理装置
JPS6422027A (en) Plasma doping system
JP4640521B2 (ja) プラズマドーピング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 14

EXPY Expiration of term