KR950015093A - 수퍼파이프라인된 수퍼스칼라 프로세서를 위한 임시 파이프라인 레지스터 파일 - Google Patents

수퍼파이프라인된 수퍼스칼라 프로세서를 위한 임시 파이프라인 레지스터 파일 Download PDF

Info

Publication number
KR950015093A
KR950015093A KR1019940029760A KR19940029760A KR950015093A KR 950015093 A KR950015093 A KR 950015093A KR 1019940029760 A KR1019940029760 A KR 1019940029760A KR 19940029760 A KR19940029760 A KR 19940029760A KR 950015093 A KR950015093 A KR 950015093A
Authority
KR
South Korea
Prior art keywords
result
instruction
register file
result value
register
Prior art date
Application number
KR1019940029760A
Other languages
English (en)
Other versions
KR100346515B1 (ko
Inventor
영 로버트
엔. 조이 윌리엄
트렘블리 마크
Original Assignee
리 패츠
선 마이크로시스템즈 인코오퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리 패츠, 선 마이크로시스템즈 인코오퍼레이티드 filed Critical 리 패츠
Publication of KR950015093A publication Critical patent/KR950015093A/ko
Application granted granted Critical
Publication of KR100346515B1 publication Critical patent/KR100346515B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3824Operand accessing
    • G06F9/3826Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30105Register structure
    • G06F9/30116Shadow registers, e.g. coupled registers, not forming part of the register space
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30138Extension of register space, e.g. register cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • G06F9/3873Variable length pipelines, e.g. elastic pipeline
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • G06F9/3875Pipelining a single stage, e.g. superpipelining

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

프로세서 방법 및 장치, 이 프로세서는 실행 파이프라인, 레지스터 파일 및 제어기를 갖는다. 실행 파이프라인은 명령어 실행을 위한 것이며 제1결과치 생성을 위한 제1단계 및 최종결과치 생성을 위란 최종단계를 갖는다. 레지스터 파일은 제1결과치 및 최종결과치를 저장한다. 제어기는 제1결과치가 그 다음 명령어의 실행을 위해 필요로 될 경우에 레지스터 파일내에 저장된 제1결과치를 이용할 수 있게 한다. 레지스터 파일내에 제1단계의 결과치를 저장함으로써, 실행 파이프라인의 길이는 종래기술에 비해 감소된다. 더욱이, 실행 파이프라인으로의 입력을 제공하기 위해 필요한 논리는 종래기술에 의해 요구되는 것보다 훨씬 간략화 된다.

Description

수퍼파이프라인된 수퍼스칼라 프로세서를 위한 임시 파이프라인 레지스터 파 일
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제3도는 주 레지스터 파일로부터 흑은 파이프라인의 각 단계에 의해 생성된 임시 데이타를 저장하는 임시 파이프라인 레지스터 파일로부터 파이프라인 입력을 선택적으로 수신하는 프로세서의 예시도.

Claims (20)

  1. 제1명령어를 실행하며 제1결과치를 생성하는 제1단계와 최종 결과치를 생성하는 최종단계로 이루어지는 제1실행 파이프라인; 제1결과치 및 최종 결과치를 저장하는 레지스터 파일; 제1결과치가 그 다음 명령어의 실행에필요로 되어지는 경우에 레지스터 파일내에 저장된 제1결과치를 이용할 수 있게 하는 제어기로 구성되는 것을 특징으로 하는 프로세서.
  2. 제2항에 있어서, 제1실행 파이프라인은 제1단계와 최종 단계 사이에 적어도 하나의 중간단계가 추가로 이루어지는 것을 특징으로 하는 프로세서.
  3. 제2항에 있어서, 적어도 하나의 증가단계가 적어도 하나의 결과치가 레지스터 파일에 저장되는 것을 특징으로 하는 프로세서,
  4. 제1항에 있어서, 레지스터 파일은 제1단계와 제1결과치를 저장하는 임시 레지스터로 이루어지는 것을 특징으로 하는 프로세서.
  5. 제1항에 있어서, 레지스터 파일은 최종 결과치를 저장하는 주 레지스터로 이루어지는 것을 특징으로 하는 프로세서.
  6. 제1항에 있어서, 레지스터 파일은 제1단계의 제1결과치를 저장하는 임시 레지스터 ; 최정 결과치를 저장하는 주 레지스터; 와 임시 레지스터와 주 레지스터중에서 선택하는 제어기에 의해 제어되는 멀티 플렉서로 이루어지는 것을 특징으로 하는 프로세서.
  7. 제1항에 있어서, 적어도 하나의 추가 명령어를 실행하는 적어도 하나의 추가 파이프라인으로 추가로 이루어지는 것을 특징으로 하는 프로세서.
  8. 제7항에 있어서, 제1명령어는 프로그램 순서에서 제2명령어보다 앞서며 만일 제1명령어의 실행으로부터 생성된 제7결과치가 제2명령어의 실행에 필요로 되어진다면, 제어기는 필요로 되는 제1결과치가 제2명령어의 실행 파이프라인으로 향하게 하는 것을 특징으로 하는 프로세서.
  9. 제8항에 있어서, 가장 최근에 저장된 필요로 되는 제1결과치만이 제어기에 의해 제2명령어의 실행 파이프라인으로 향하게 되는 것을 특징으로 하는 프로세서.
  10. 제1명령어를 실행하며 제1결과치를 생성하는 제1단계와 최종 결과치를 생성하는 최종단계로 이루어지는 제1실행 파이프라인을 제공하는 단계; 제1결과치 및 최종 결과치를 저장하는 레지스터 파일을 제공하는 단계; 와 제1결과치가 그 다음 명령어의 실행에 필요로 되어지는 경우에 레지스터 파일내에 저장된 제1결과치를 이용할 수 있게 하는 제어기를 제공하는 단계로 구성되는 것을 특징으로 하는 방법.
  11. 제10항에 있어서, 제1실행 파이프라인에서 제1명령어를 실행하는 단계; 레지스터 파일내에 제1결과치를 저장하는 단계; 레지스터 파일로부터의 제1결과치를 실행하기 위해 제1결과치를 필요로 하는 그 다음 명령어에 제공하는 단계; 및 제1결과치를 필요로 하는 그 다음 명령어를 실행하는 단계로 추가로 이루어지는 것을 특징으로 하는 방법.
  12. 제10항에 있어서, 제1단계와 최종단계 사이에 적어도 하나의 중간단계를 제1실행 파이프라인에 제공하는 단계로 추가로 이루어지는 것을 특징으로 하는 방법.
  13. 제12항에 있어서, 적어도 하나의 중간단계의 적어도 하나의 결과치를 레지스터 파일내에 저장하는 단계로 추가로 이루어지는 것을 특징으로 하는 방법
  14. 제10항에 있어서, 레지스터 파일을 제공하는 단계는 제1단계의 제1결과치를 저장하는 임시 레지스터를 제공하는 단계로 이루어지는 것을 특징으로 하는 방법.
  15. 제10항에 있어서, 레지스터 파일을 제공하는 단계는 최종 결과치를 저장하는 주 레지스터를 제공하는 단계로 이루어지는 것을 특징으로 하는 방법.
  16. 제10항에 있어서, 레지스터 파일을 제공하는 단계는 제1단계의 제1결과치를 저장하는 임시 레지스터를 제공하는 단계; 최종 결과치를 저장하는 주 레지스터를 제공하는 단계; 및 임시 레지스터와 주레지스터중에서 선택하는 제어기에 의해 제어되는 멀티플렉서를 제공하는 단계로 이루어지는 것을 특징으로 하는 방법.
  17. 제1항에 있어서, 적어도 하나의 추가 명령어를 실행하는 적어도 하나의 추가 실행 파이프라인을 제공하는 단계로 추가로 이루어지는 것을 특징으로 하는 방법.
  18. 제17항에 있어서, 제1명령어는 프로그램 순서에서 제2명령어에 앞서며 제1명령어의 실행으로부터 생성된 제1결과치는 제2명령어의 실행에 필요로 되어지며, 제1파이프라인에서 제1명령어를 실행하는 단계; 레지스터 파일내에 최종 결과치를 저장하는 단계; 필요로 되는 제1결과치를 제2명령어의 실행 파이프라인으로 향하게 하는 단계; 및 필요로 되는 제1결과치를 사용하여 제2명령어를 실행하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  19. 제18항에 있어서, 가장 최근에 저장된 필요로 되는 제1결과치만이 제어기에 의해 제2명령어의 실행 파이프라인으로 향하게 하는 것을 특징으로 하는 방법.
  20. 데이타 및 명령어를 저장하는 주 메모리; 주 메모리의 데이타를 사용하여 명령어를 실행하는 프로세서로 구성되며, 이 프로세서는 제1명령어를 실행하며 제1결과치를 생성하는 제1단계와 최종 결과치를 생성하는 최종단계로 이루어지는 제1실행 파이프라인: 제1결과치 및 최종 결과치를 저장하는 레지스터 파일; 및 제1결과치가 그 다음 명령어의 실행에 필요로 되어지는 경우에 레지스터 파일내에 저장된 제1결과치를 이용할 수 있게 하는 제어기로 이루이지는 것을 특징으로 하는 컴퓨터 시스템.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940029760A 1993-11-17 1994-11-14 수퍼파이프라인된수퍼스칼라프로세서를위한임시파이프라인레지스터파일 KR100346515B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/153,814 US6128721A (en) 1993-11-17 1993-11-17 Temporary pipeline register file for a superpipelined superscalar processor
US08/153,814 1993-11-17

Publications (2)

Publication Number Publication Date
KR950015093A true KR950015093A (ko) 1995-06-16
KR100346515B1 KR100346515B1 (ko) 2002-11-30

Family

ID=22548847

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940029760A KR100346515B1 (ko) 1993-11-17 1994-11-14 수퍼파이프라인된수퍼스칼라프로세서를위한임시파이프라인레지스터파일

Country Status (5)

Country Link
US (1) US6128721A (ko)
EP (1) EP0653703B1 (ko)
JP (1) JPH07191846A (ko)
KR (1) KR100346515B1 (ko)
DE (1) DE69418146T2 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US5742840A (en) * 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US7301541B2 (en) 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
CN1100291C (zh) * 1997-08-20 2003-01-29 松下电器产业株式会社 数据处理装置
WO2000008555A1 (en) * 1998-08-06 2000-02-17 Koninklijke Philips Electronics N.V. Data processing device
EP1004959B1 (en) * 1998-10-06 2018-08-08 Texas Instruments Incorporated Processor with pipeline protection
US6615338B1 (en) * 1998-12-03 2003-09-02 Sun Microsystems, Inc. Clustered architecture in a VLIW processor
EP1050800A1 (en) * 1999-05-03 2000-11-08 STMicroelectronics SA A pipelined execution unit
US6704854B1 (en) * 1999-10-25 2004-03-09 Advanced Micro Devices, Inc. Determination of execution resource allocation based on concurrently executable misaligned memory operations
US6553483B1 (en) * 1999-11-29 2003-04-22 Intel Corporation Enhanced virtual renaming scheme and deadlock prevention therefor
EP1290548A2 (en) * 2000-06-02 2003-03-12 Sun Microsystems, Inc. Synchronizing partially pipelined instructions in vliw processors
WO2003036468A1 (en) 2001-10-24 2003-05-01 Telefonaktiebolaget Lm Ericsson (Publ) An arrangement and a method in processor technology
CN100337193C (zh) * 2002-09-17 2007-09-12 皇家飞利浦电子股份有限公司 超长指令字处理器
US20040128475A1 (en) * 2002-12-31 2004-07-01 Gad Sheaffer Widely accessible processor register file and method for use
JP4551635B2 (ja) * 2003-07-31 2010-09-29 ソニー株式会社 パイプライン処理システムおよび情報処理装置
JP2005078656A (ja) * 2003-08-29 2005-03-24 Sony Corp パイプライン処理システムおよび情報処理装置
JP4082300B2 (ja) * 2003-08-29 2008-04-30 ソニー株式会社 パイプライン処理システムおよび情報処理装置
US7124318B2 (en) * 2003-09-18 2006-10-17 International Business Machines Corporation Multiple parallel pipeline processor having self-repairing capability
US7415705B2 (en) * 2004-01-14 2008-08-19 International Business Machines Corporation Autonomic method and apparatus for hardware assist for patching code
US8862835B2 (en) * 2011-06-14 2014-10-14 Texas Instruments Incorporated Multi-port register file with an input pipelined architecture and asynchronous read data forwarding
US8862836B2 (en) * 2011-06-14 2014-10-14 Texas Instruments Incorporated Multi-port register file with an input pipelined architecture with asynchronous reads and localized feedback
US9524163B2 (en) * 2013-10-15 2016-12-20 Mill Computing, Inc. Computer processor employing hardware-based pointer processing
US20150261542A1 (en) * 2014-03-14 2015-09-17 Arm Limited Data processing apparatus and method for performing data processing operation with a conditional processing step
US20160232006A1 (en) * 2015-02-09 2016-08-11 Qualcomm Incorporated Fan out of result of explicit data graph execution instruction

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1527289A (en) * 1976-08-17 1978-10-04 Int Computers Ltd Data processing systems
US4228497A (en) * 1977-11-17 1980-10-14 Burroughs Corporation Template micromemory structure for a pipelined microprogrammable data processing system
US4598365A (en) * 1983-04-01 1986-07-01 Honeywell Information Systems Inc. Pipelined decimal character execution unit
JPH0650512B2 (ja) * 1984-07-11 1994-06-29 日本電気株式会社 デ−タ処理装置
US4819155A (en) * 1987-06-01 1989-04-04 Wulf William A Apparatus for reading to and writing from memory streams of data while concurrently executing a plurality of data processing operations
US4991078A (en) * 1987-09-29 1991-02-05 Digital Equipment Corporation Apparatus and method for a pipelined central processing unit in a data processing system
US5019967A (en) * 1988-07-20 1991-05-28 Digital Equipment Corporation Pipeline bubble compression in a computer system
US5148536A (en) * 1988-07-25 1992-09-15 Digital Equipment Corporation Pipeline having an integral cache which processes cache misses and loads data in parallel
US5136697A (en) * 1989-06-06 1992-08-04 Advanced Micro Devices, Inc. System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache
US5129067A (en) * 1989-06-06 1992-07-07 Advanced Micro Devices, Inc. Multiple instruction decoder for minimizing register port requirements
US5299320A (en) * 1990-09-03 1994-03-29 Matsushita Electric Industrial Co., Ltd. Program control type vector processor for executing a vector pipeline operation for a series of vector data which is in accordance with a vector pipeline
US5261071A (en) * 1991-03-21 1993-11-09 Control Data System, Inc. Dual pipe cache memory with out-of-order issue capability
JP2693651B2 (ja) * 1991-04-30 1997-12-24 株式会社東芝 並列プロセッサー
US5345569A (en) * 1991-09-20 1994-09-06 Advanced Micro Devices, Inc. Apparatus and method for resolving dependencies among a plurality of instructions within a storage device
DE4137485A1 (de) * 1991-11-14 1993-05-19 Schering Ag Schaltvorrichtung
US5357617A (en) * 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US5274818A (en) * 1992-02-03 1993-12-28 Thinking Machines Corporation System and method for compiling a fine-grained array based source program onto a course-grained hardware
JP3637920B2 (ja) * 1992-05-01 2005-04-13 セイコーエプソン株式会社 スーパースケーラマイクロプロセサに於て命令をリタイアさせるシステム及び方法
US5337415A (en) * 1992-12-04 1994-08-09 Hewlett-Packard Company Predecoding instructions for supercalar dependency indicating simultaneous execution for increased operating frequency

Also Published As

Publication number Publication date
EP0653703A1 (en) 1995-05-17
US6128721A (en) 2000-10-03
DE69418146T2 (de) 1999-11-25
DE69418146D1 (de) 1999-06-02
KR100346515B1 (ko) 2002-11-30
JPH07191846A (ja) 1995-07-28
EP0653703B1 (en) 1999-04-28

Similar Documents

Publication Publication Date Title
KR950015093A (ko) 수퍼파이프라인된 수퍼스칼라 프로세서를 위한 임시 파이프라인 레지스터 파일
KR920001319A (ko) 처리기 및 처리기의 처리방법
KR970016945A (ko) 다중 인스트럭션 실행 방법 및 수퍼스칼라 마이크로프로세서
KR930018378A (ko) 캐쉬 메모리 시스템의 성능최적화 방법 및 장치
US20020066004A1 (en) Storing stack operands in registers
KR100279135B1 (ko) 컴퓨터 시스템 내에서 비고유 모드 감지 명령어를 실행하기위한 방법 및 시스템
KR920004964A (ko) 2개의 명령을 동시에 실행할 수 있는 데이타 프로세서
KR930018368A (ko) 롬(rom) 용량을 저감한 데이타 프로세서
KR960706124A (ko) 워드 정렬 브랜치 타겟을 가지는 처리 시스템(Processing system with word aligned branch target)
KR960018911A (ko) 명령어 캐시안으로 명령어를 로딩하는 방법
KR20070004705A (ko) 전자 회로와 그 동작 방법
KR940015806A (ko) 확장가능한 레지스터를 제공하는 데이타 처리 시스템 및 그 방법
KR970062894A (ko) 명령 실행 방법 및 명령 실행 장치
JP2538053B2 (ja) 制御装置
KR100237642B1 (ko) 파이프라인 정지회로를 구비한 프로세서
US6049864A (en) Method for scheduling a flag generating instruction and a subsequent instruction by executing the flag generating instruction in a microprocessor
KR20020086214A (ko) 마이크로프로세서
JPH0581075A (ja) ソフトウエア開発装置
JP2979108B2 (ja) データ処理装置における非同期処理の同期化方式
JPS5829051A (ja) 演算処理装置
JPH09282177A (ja) プログラム変換方法およびコンパイラ
JPS6116334A (ja) デ−タ処理装置
KR930004861A (ko) 정보처리 시스템
JPS6327975A (ja) ベクトル演算制御方式
KR970705077A (ko) 팝(POP) 명령 실행 장치 및 방법(Apparatus and Method for Executing Pop Instructions)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130620

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 13

EXPY Expiration of term