KR20210016276A - 카세트 뚜껑 개방 장치 - Google Patents

카세트 뚜껑 개방 장치 Download PDF

Info

Publication number
KR20210016276A
KR20210016276A KR1020200093651A KR20200093651A KR20210016276A KR 20210016276 A KR20210016276 A KR 20210016276A KR 1020200093651 A KR1020200093651 A KR 1020200093651A KR 20200093651 A KR20200093651 A KR 20200093651A KR 20210016276 A KR20210016276 A KR 20210016276A
Authority
KR
South Korea
Prior art keywords
cassette
lid
opening
wall
handler
Prior art date
Application number
KR1020200093651A
Other languages
English (en)
Inventor
리더 크리스 지.엠. 드
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210016276A publication Critical patent/KR20210016276A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D51/00Closures not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D55/00Accessories for container closures not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D85/00Containers, packaging elements or packages, specially adapted for particular articles or materials
    • B65D85/30Containers, packaging elements or packages, specially adapted for particular articles or materials for articles particularly sensitive to damage by shock or pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67373Closed carriers characterised by locking systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D2251/00Details relating to container closures
    • B65D2251/06Special configurations; Closures simulating or provided with another item, e.g. puppet, animal, vehicle, building

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 카세트와의 협업을 위해 구성된 클린룸 장치 내에서의 사용을 위한 카세트 뚜껑 개방 장치. 상기 카세트 뚜껑 개방 장치는, 그곳을 통하여 웨이퍼들을 이송하기 위한 벽 개구를 갖는 분리 벽을 갖는 벽 구조, 카세트 도킹 포트, 및 상기 벽 구조에 제공된 뚜껑 핸들러를 포함한다. 상기 카세트 도킹 포트는 웨이퍼 카세트를 도킹하기 위해 벽 구조의 제1 측면에 배치된다. 상기 뚜껑 핸들러는 벽 개구에 대해 이동가능하고 카세트 도킹 포트에 도킹된 웨이퍼 카세트의 카세트 뚜껑과 결합하도록 구성된다. 상기 카세트 뚜껑 개방 장치는 실질적으로 상기 벽 개구의 높이 또는 폭에 걸쳐있고, 퍼지 가스의 커튼 형상의 제트 스트림을 웨이퍼 카세트의 카세트 내부로 송풍하도록 구성된 세장형 슬릿 형 노즐을 갖는 송풍기를 추가로 포함한다.

Description

카세트 뚜껑 개방 장치{Cassette lid opening device}
본 발명은 일반적으로 클린룸 장치에서 사용하기 위한 카세트 뚜껑 개방 장치에 관한 것이다.
웨이퍼 카세트들은 클린룸 환경들에서 수직 배치 퍼니스들과 같은, 클린룸 장치들로 그리고 그로부터 웨이퍼들을 운송하기 위해 사용된다. 이러한 웨이퍼 카세트의 예는 300mm 웨이퍼들을 운송하는 데 사용되는 전면 개구 통합 포드(Front Opening Unified Pod ;FOUP)이다. 웨이퍼 카세트는 클린룸 장치의 벽의 개구에 배열될 수 있는 뚜껑 개방 장치에 대하여 배치될 수 있다. 상기 벽 내의 개구는 도어에 의해 폐쇄가능할 수 있다. 상기 벽은, 예를 들어 제1 환경, 예를 들어 카세트 취급 공간을 제2 환경, 예를 들어 웨이퍼 취급 공간으로부터 분리할 수 있다. 상기 뚜껑 개방 장치는, 또한 파티클들/오염들이 웨이퍼 카세트 및/또는 상기 제2 환경으로 유입되는 것을 방지하면서, 웨이퍼 카세트의 뚜껑 및 도어를 개방하도록 구성될 수 있다. 웨이퍼 카세트들은 밀폐되지 않기 때문에 클린룸 환경에 존재하는 클린룸 공기로 채워질 수 있다. 제2 환경 내의 O2/H2O의 수준을 감소시키기 위해 클린룸 장치의 제2 환경이 예컨대 질소(N2)와 같은 퍼지 가스를 사용하는 경우, 웨이퍼 카세트 내의 클린룸 공기는 상기 도어가 개방되기 전에 적어도 부분적으로 제거되는 것이 바람직하다.
이 요약은 선택된 개념들을 단순화된 형태로 소개하기 위해 제공된다. 이러한 개념들은 아래의 개시의 예시적인 실시 예들의 상세한 설명에서 더 상세히 설명된다. 이 요약은 청구된 주제의 주요 특징들 또는 본질적인 특징들을 식별하기 위해 의도된 것이 아니며, 청구된 주제의 범위를 제한하기 위해 사용되도록 의도된 것도 아니다.
사용되는 퍼지 가스의 최소량으로 비교적 빠르게 웨이퍼 카세트 내의 퍼지 가스의 원하는 농도 수준을 얻는 것이 목적이다.
이를 위해, 카세트 뚜껑 개방 장치가 제공될 수 있다. 보다 구체적으로, 웨이퍼 카세트와의 협업을 위해 구성된 클린룸 장치 내에서의 사용을 위한 카세트 뚜껑 개방 장치가 제공될 수 있다. 상기 웨이퍼 카세트는 웨이퍼들을 수용하기 위한 카세트 내부를 한정하며, 카세트 뚜껑으로 폐쇄 가능한 있는 카세트 개구를 갖는 카세트 본체를 포함할 수 있다. 상기 카세트 뚜껑 개방 장치는, 벽 구조, 카세트 도킹 포트, 및/또는 상기 벽 구조에 제공된 뚜껑 핸들러를 포함할 수 있다. 상기 벽 구조는 제1 공간과의 경계를 짓는 제1 측면을 갖고, 제2 공간과의 경계를 짓는 반대편의 제2 측면을 갖는 분리 벽을 가질 수 있다. 상기 분리 벽은 그곳을 통해 웨이퍼들을 이송시키기 위한 벽 개구를 가질 수 있다. 상기 카세트 도킹 포트는 제1 공간에 수용되는 웨이퍼 카세트를 도킹하기 위해 벽 구조의 제1 측면에 배치될 수 있다. 상기 뚜껑 핸들러는 벽 개구에 대해 이동가능할 수 있고, 카세트 도킹 포트에 도킹된 웨이퍼 카세트의 카세트 뚜껑과 결합하도록 구성될 수 있다. 상기 뚜껑 핸들러는 결합된 카세트 뚜껑이 카세트 본체를 폐쇄하는 폐쇄 위치를 가질 수 있다. 상기 뚜껑 핸들러는 결합된 카세트 뚜껑이 카세트 본체로부터 이격되는 개방 위치를 가질 수 있다. 카세트 뚜껑 개방 장치는, 실질적으로 벽 개구의 높이 또는 폭에 걸쳐있는 세장형 슬릿 형 노즐을 갖는 공기압 흐름 발생기, 예를 들어 송풍기를 더 포함할 수 있으며, 퍼지 가스의 커튼 형상의 제트 스트림을 제1 공간의 방향으로 상기 분리 벽으로부터 멀어지게 상기 카세트 내부로 송풍하도록 구성될 수 있다.
상기 클린룸 장치에 사용하기 위한 어셈블리가 또한 제공될 수 있다. 상기 어셈블리는 카세트 본체 및 카세트 뚜껑을 가질 수 있는 웨이퍼 카세트를 포함할 수 있다. 상기 카세트 본체는 웨이퍼들을 수용하기 위한 카세트 내부를 한정할 수 있고, 카세트 개구를 가질 수 있다. 상기 카세트 뚜껑은 상기 카세트 개구를 폐쇄하도록 구성될 수 있다. 상기 어셈블리는 상기 설명에 따른 카세트 뚜껑 개방 장치를 추가로 포함할 수 있다.
본 개시는 또한 웨이퍼 카세트를 개방 및 퍼지하는 방법을 제공할 수 있다. 상기 방법은 웨이퍼들을 수용하기 위한 카세트 내부를 한정하는 카세트 본체를 포함할 수 있고, 카세트 개구를 가질 수 있는 웨이퍼 카세트를 제공하는 단계를 포함할 수 있다. 상기 웨이퍼 카세트는 카세트 개구를 폐쇄하기 위한 카세트 뚜껑을 더 포함할 수 있다. 상기 방법은 웨이퍼 카세트를 개방하기 위해 카세트 본체에 대해 카세트 뚜껑을 개방 위치로 이동시키는 단계를 더 포함할 수 있고, 상기 카세트 개구의 실질적으로 전체 폭 또는 높이를 따라 퍼지 가스의 커튼 형상의 제트 스트림을 이동시키면서 상기 퍼지 가스의 커튼 형상의 제트 스트림을 카세트 내부로 송풍시킴으로써 카세트 내부를 퍼지하는 단계를 포함할 수 있다.
본 발명 및 종래 기술에 비해 달성된 장점들을 요약하기 위해, 본 발명의 일정한 목적들 및 장점들이 본 명세서에서 설명되었다. 물론, 그러한 모든 목적들 또는 장점들이 본 발명의 임의의 특정 실시 예에 따라 반드시 달성될 필요는 없음이 이해되어야 한다. 따라서, 예를 들어, 당업자는 본 발명은 본 명세서에서 교시 또는 제안될 수 있는 바와 같은 다른 목적들 또는 장점들을 필연적으로 달성함이 없이 본 명세서에서 교시되거나 또는 제안된 바와 같은 하나의 장점 또는 일군의 장점들을 달성하거나 또는 최적화하는 방식으로 구현되거나 또는 수행될 수 있음을 인식할 것이다.
다양한 실시 예들이 종속 청구항들에서 청구되며, 이는 도면들에 도시된 예를 참조하여 추가로 설명될 것이다. 상기 실시 예들은 서로 결합되거나 별도로 적용될 수 있다.
이들 실시 예들 모두는 본 명세서에 개시된 본 발명의 범위 내에 있도록 의도된다. 이들 및 다른 실시 예들은 첨부된 도면들에 대한 참조를 갖는 특정 실시 예들에 대한 다음의 상세한 설명으로부터 당업자에게 쉽게 명백해질 것이며, 본 발명은 개시된 임의의 특정 실시 예(들)로 제한되지 않는다.
본 명세서는 본 발명의 실시 예들로서 간주되는 것을 특별히 지적하고 명백히 청구하는 청구항들로 결론되지만, 본 발명의 실시 예들의 이점은 첨부하는 도면들과 함께 읽힐 경우 본 발명의 실시 예들의 특정 예들의 설명으로부터 더욱 쉽게 확인될 수 있다.
도 1은 상기 설명에 따른 어셈블리의 예의 상단면도를 도시한다.
도 2는 벽 개구 앞의 개방 위치 내의 뚜껑 핸들러, 그리고 아직 카세트 내부로 송풍되지 않은 좌측에서의 퍼지 가스의 제트 스트림을 갖는 도 1의 예를 도시한다.
도 3은 분리 벽에 평행하게 우측으로 측 방향으로 이동된 뚜껑 핸들러, 그리고 카세트 본체의 좌측에서 카세트 내부로 송풍된 제트 스트림을 갖는 도 1 및 도 2의 예를 도시한다.
도 4는 우측으로 측 방향으로 더 이동된 뚜껑 핸들러, 상기 우측으로 더 카세트 내부로 또한 송풍된 제트 스트림, 그리고 상기 제트 스트림의 좌측으로의 퍼지 가스의 면적을 갖는 도 1 내지 도3의 예를 도시한다.
도 5는 우측으로 측 방향으로 좀 더 이동된 뚜껑 핸들러, 상기 카세트 본체의 좌측에서 카세트 내부로 송풍된 제트 스트림, 그리고 상기 카세트 내부를 완전히 차지하는 퍼지 가스의 면적을 갖는 도 1 내지 도 4의 예를 도시한다.
본 출원에서 유사한 또는 대응하는 특징들은 유사한 또는 대응하는 참조 기호들로 표시된다. 다양한 실시 예들의 설명은 도면들에서 보여지는 예로 제한되지 않으며, 상세한 설명 및 청구항들에서 사용된 참조 번호들은 실시 예들의 설명을 제한하려는 의도가 아니라, 도면들에서 보여지는 예를 참조함으로써 상기 실시 예들을 설명하기 위해 포함된다.
특정 실시 예들 및 예들이 아래에 개시되어 있지만, 본 발명은 본 발명의 구체적으로 개시된 실시 예들 및/또는 용도들 및 그 명백한 수정들 및 등가물들을 넘어서 연장된다는 것을 당업자는 이해할 것이다. 따라서, 개시된 본 발명의 범위는 후술하는 특정의 개시된 실시 예들에 의해 제한되어서는 안된다. 본 명세서에 제시된 예시들은 임의의 특정 재료, 구조 또는 장치의 실제 도면들일 것을 의미하는 것이 아니라 본 개시의 실시 예들을 설명하는데 사용되는 단지 이상적인 표현일 뿐이다.
본 명세서에서 사용된 바와 같이, 용어 "웨이퍼"는 사용될 수 있는, 또는 장치, 회로 또는 막이 그 위에 형성될 수 있는 임의의 하부 재료 또는 재료들을 지칭할 수 있다.
가장 일반적인 용어로, 본 개시는 웨이퍼 카세트(80)와 협업하도록 구성된 클린룸 장치에서 사용하기 위한 카세트 뚜껑 개방 장치(12)를 제공한다. 웨이퍼 카세트(80)는 웨이퍼들을 수용하기 위한 카세트 내부(88)를 한정하는 카세트 본체(82)를 포함할 수 있으며, 그리고 카세트 뚜껑(84)과 함께 폐쇄가능한 카세트 개구(86)를 포함할 수 있다. 상기 카세트 뚜껑 개방 장치(12)는 벽 구조(14), 카세트 도킹 포트(28) 및 상기 벽 구조(14)에 제공된 뚜껑 핸들러(30)를 포함할 수 있다. 상기 벽 구조는 제1 공간(22)과의 경계를 짓는 제1 측면(18)과 제2 공간(24)과의 경계를 짓는 대향하는 제2 측면(20)을 갖는 분리 벽(16)을 포함할 수 있다. 분리 벽(16)은 웨이퍼들을 그곳을 통해서 이송하기 위한 벽 개구(26)를 가질 수 있다. 카세트 도킹 포트는 제1 공간(22)에 수용되어 있는 웨이퍼 카세트를 도킹하기 위해 벽 구조(14)의 제1 측면(18)에 배치될 수 있다. 상기 뚜껑 핸들러(30)는 벽 개구(26)에 대해 이동가능할 수 있고 그리고 카세트 도킹 포트(28) 내에 결합된 웨이퍼 카세트(80)의 카세트 뚜껑(84)을 결합시키기 위해 구성될 수 있다. 상기 뚜껑 핸들러(30)는 결합된 상기 카세트 뚜껑(84)이 상기 카세트 본체(82)를 폐쇄하는 폐쇄 위치를 가질 수 있다. 상기 뚜껑 핸들러(30)는 결합된 상기 카세트 뚜껑(84)이 상기 카세트 본체(82)로부터 이격되어 있는 개방 위치를 가질 수 있다. 상기 카세트 뚜껑 개방 장치(12)는 상기 벽 개구(26)의 높이 또는 폭을 실질적으로 가로지르는 세장형(elongated) 슬릿 형 노즐(38)을 갖는 공기압 흐름 발생기, 예를 들어 송풍기(36)를 더 포함할 수 있으며, 상기 제1 공간(22)의 방향으로 상기 분리 벽(16)으로부터 멀어져 상기 카세트 내부(88)로 퍼지 가스의 커튼 형상의 제트 스트림(40)을 송풍하도록 구성될 수 있다.
퍼지 가스의 커튼 형상의 제트 스트림(40)을 카세트 내부(88) 내로 송풍하도록 구성되는 공기압 흐름 발생기 또는 송풍기(36)에 의해, 상기 퍼지 가스 제트 스트림(40)은 클린룸 공기를 불어내는 퍼지 가스의 전방을 형성한다. 제트 스트림은 커튼 형상의 제트 스트림(40)이기 때문에, 카세트 내부를 2 개의 영역(90, 92), 즉 커튼 형상의 제트 스트림의 양측의 하나의 영역으로 분할될 수 있다. 송풍기(36)의 노즐(38)은 실질적으로 벽 개구(26)의 높이 또는 폭에 걸쳐있는 세장형 슬릿 형 노즐(38)이기 때문에, 제트 스트림(40)은 일단에서 대향하는 단부로 카세트 내부(88)에 또한 걸쳐있을 수 있다. 그리하여 제트 스트림(40)의 측면들이 카세트 본체(82)의 측면들을 지나서 흐르기 때문에, 카세트 내부(88)의 클린룸 공기는 제트 스트림(40)의 이들 측면들을 통과하지 못할 수 있고, 상기 커튼 형상의 제트 스트림의 양 측면들 상의 상기 영역들(90, 92) 사이에서 하나의 영역으로부터 다른 영역으로 공기의 흐름이 없는, 뚜렷한 분리를 가능하게 한다. 제트 스트림(40)이 카세트 내부(88) 내로 송풍되는 속도는 벽 개구(26)의 높이 또는 폭 및 카세트 내부 (88)의 깊이의 크기에 따라 적합하게 될 수 있다. 이러한 방식으로, 제트 스트림 전방은 클린룸 공기를 배출하고 퍼지 가스로 대체할 수 있다. 웨이퍼들은 일반적으로 카세트 내부 내에서 수평으로 지향되기 때문에, 노즐(38)은 유리하게는 벽 개구(26)의 높이에 걸쳐있으며, 즉 수직으로 지향된 방향으로 벽 개구(26)에 걸쳐있다. 퍼지 가스는 실질적으로 클린룸 공기와 혼합되지 않기 때문에, 퍼지 가스로 웨이퍼 카세트(80) 내에 존재하는 클린룸 공기를 '혼합 및 희석'에 기초한 방법보다 웨이퍼 카세트(80) 내의 공기를 대체하기 위해 퍼지 가스가 덜 필요하다. 퍼지 가스가 덜 필요할 뿐만아니라 퍼지 시간도 단축될 수 있다.
일 실시 예에서, 송풍기(36)는 실질적으로 카세트 개구(86)의 전체 폭 또는 높이를 따라 이동가능하게 구성 및 배열될 수 있고, 카세트 내부(88) 내로 송풍되도록 구성될 수 있다. 뚜껑 핸들러(30)는 상기 분리 벽(16)에 평행하게 측 방향으로 상기 결합된 카세트 뚜껑(84)을 이동시키도록 구성될 수 있다. 송풍기(36)는 뚜껑 핸들러(30)에 연결될 수 있고, 결합된 상기 카세트 뚜껑(84)을 지나 상기 카세트 내부(88) 내로 송풍하도록 구성될 수 있다.
송풍기(36)를 측 방향으로 이동가능한 상기 뚜껑 핸들러(30)에 연결함으로써, 상기 제트 스트림은 뚜껑 핸들러(30)와 함께 측 방향으로 이동할 수 있다. 이것은 제트 스트림 전방이 카세트 내부(88)의 한 측면에서 다른, 대향하는 측면으로 이동할 수 있게 하여, 카세트 내부(88)에서 클린룸 공기를 제거하고 밀어내는 것을 보장한다. 이것이 작동하는 방법의 예는 도 2 내지 도 5에 도시된다. 예를 들어, 카세트 내부(88)가 바닥 벽, 상부 벽 및 2 개의 측벽을 갖는 정사각형 또는 직사각형 형태에 의해 경계지어진다고 가정하자. 상기 커튼 형상의 제트 스트림(40)은 카세트 내부(88)를 상기 바닥 벽으로부터 상기 상부 벽에 걸칠 수 있으므로 카세트 내부(88)를 좌측 영역(90)과 우측 영역(92)으로 분할할 수 있다. 상기 퍼지가 시작할 때 전체 카세트 내부(88)는 도 2에 도시된 바와 같이, 클린룸 공기로 채워진다. 이어서, 상기 퍼지가 카세트 내부(88)의 좌측 또는 우측 벽을 따라 송풍되는 제트 스트림(40)으로 시작될 것이다. 도 3에서 보여지는 바와 같이, 상기 제트 스트림은 상기 카세트 내부(88)의 좌측 벽을 지나 처음으로 송풍된다고 가정하자. 이어서 상기 클린룸 공기는 제트 스트림(40)의 우측의 영역(92)으로 제한된다. 상기 뚜껑 핸들러(30) 및 따라서 제트 스트림(40)은 후속하여 우측으로 이동할 수 있다. 이렇게 함으로써, 도 4에서 보여지는 바와 같이, 클린룸 공기로 채워진 제트 스트림(40)의 우측 상의 상기 영역(92)은 점차로 작아지게 되고, 반면에 제트 스트림(40)의 좌측 상의 영역(90)은 점차로 커지게 된다. 결국, 도 5에서 보여지는 바와 같이, 제트 스트림(40)은 카세트 내부(88)의 우측 벽에 도달할 것이고, 모든 클린룸 공기는 카세트 내부에서 배출될 것이다.
일 실시 예에서, 송풍기(36)는 볼록면(42)을 포함할 수 있다. 상기 세장형 슬릿 형 노즐(38)은 볼록면(42) 위로 제트 스트림(40)을 송풍하도록 구성될 수 있다. 카세트 뚜껑 개방 장치(12)는 상기 볼록면(42)의 주위에 제2 퍼지 가스를 공급하는 제2 퍼지 가스 소스(44)를 더 구비할 수 있으며, 그리하여 코안다 효과(Coanda effect)의 결과로서 상기 공급된 제2 퍼지 가스의 적어도 일부가 상기 볼록면(42) 위로 송풍된 퍼지 가스의 제트 스트림(40)에 의해 혼입된다.
상기 코안다 효과는 제트 스트림(40)이 볼록면(42)에 부착되어 머무르려고 하는 경향이다. 상기 볼록면(42) 위로 송풍될 때, 상기 코안다 효과는 볼록면(42)에 대해 제트 스트림(40)의 반대 측에서 압축(underpressure)을 유발한다. 이러한 압축으로 인해 주변 가스가 제트 스트림(40)으로 혼입된다. 이러한 혼입으로 인해, 송풍기(36)의 효과가 증폭되고, 제트 스트림(40)의 매우 균일한 흐름이 생성된다. 제트 스트림(40)이 그 위로 송풍되는 볼록면(42)은 따라서 균일한 유출을 갖는 이상적인 인젝터를 만든다.
일 실시 예에서, 송풍기(36)는 카세트 본체(82)의 전체 깊이를 실질적으로 가로질러 상기 퍼지 가스의 커튼 형상의 제트 스트림(40)을 송풍하도록 구성될 수 있다.
제트 스트림(40)이 카세트 본체(82)의 전체 깊이를 가로질러 송풍되도록 함으로써, 제트 스트림(40)은 카세트 개구(86) 반대편의 카세트 본체(82)의 반대 단부에 도달할 것이다. 이로써, 클린룸 공기가 반대 단부에서 상기 제트 스트림(40)을 피하여 이미 퍼지된 상기 카세트 내부(88)의 영역(90)에 도달하는 것이 방지된다.
일 실시 예에서, 벽 구조(14)는 배기 포트(46)를 포함할 수있다. 배기 포트(46)는 뚜껑 핸들러(30)가 폐쇄 위치에서 개방 위치로 이동될 때, 및 상기 뚜껑 핸들러(30)가 상기 개방 위치에 있을 때 상기 카세트 내부(88)로부터 가스를 제거하도록 구성될 수 있다. 배기 포트(46)는 벤튜리(venturi)를 포함할 수 있다.
상기 배기 포트(46)는 카세트 내부(88) 내에 가스의 축적을 방지한다. 배기 포트(46)는 바람직하게는 제트 스트림(40)이 클린룸 공기를 밀어내는 위치에 배치된다. 도면들에 도시된 예에서, 이것은 카세트 본체(82)의 우측 벽 근처에 있다.
일 실시 예에서, 카세트 뚜껑 개방 장치(12)는 도어(48) 및 도어 핸들러(50)를 더 포함할 수 있다. 도어(48)는 분리 벽(16)에서 벽 개구(26)를 폐쇄하도록 구성될 수 있고, 상기 벽 개구(26)에 대해 이동가능할 수 있다. 도어 핸들러(50)는 도어(48)에 연결될 수 있다. 도어 핸들러(50)는 도어(48)가 벽 개구(26)를 폐쇄하는 폐쇄 위치, 및 도어가 벽 개구(26)로부터 측 방향으로 멀어지게 이동되는 개방 위치로 도어(48)를 이동시키도록 구성될 수 있다.
일 실시 예에서, 도어 핸들러(50)는 측 방향으로 함께 이동하도록 뚜껑 핸들러(30)에 연결될 수 있다.
제트 스트림(40)은 카세트 내부(88)에서 클린룸 공기를 밀어내거나 불어내기 때문에,이 클린룸 공기는 분리 벽(16) 및 도어(48)의 반대 측 상의 제2 공간(24)에 도달하는 것이 방지된다. 따라서, 도어(48)는 클린룸 공기의 모두가 카세트 내부(88)로부터 퍼지될 때까지 닫힌 상태로 유지될 필요는 없다. 퍼지 동안에 도어(48)가 이미 개방되어 있을 수 있고, 도어(48)는 뚜껑 핸들러(30)와 함께 이동할 수 있다. 뚜껑 핸들러(30)의 이동 다음에 그러나 동시에 도어(48)의 개방이 발생하지 않기 때문에, 시간이 절약된다. 이것은 상기 카세트 뚜껑 개방 장치가 일부일 수 있는 클린룸 장치의 처리량에 긍정적인 영향을 미칠 수 있다.
일 실시 예에서, 상기 제2 공간(24)은 클린룸 장치의 국소환경(minienvironment)일 수 있다.
본 발명은 또한 클린룸 장치에서 사용하기 위한 어셈블리(10)를 제공하며, 그 예는 도 1 내지 도 5에 도시되어 있다. 상기 어셈블리(10)는 웨이퍼 카세트(80)를 포함할 수 있다. 상기 웨이퍼 카세트(80)는 카세트 본체(82) 및 카세트 뚜껑(84)을 포함할 수 있다. 상기 카세트 본체(82)는 웨이퍼들을 수용하기 위한 카세트 내부(88)를 한정할 수 있고, 카세트 개구(86)를 가질 수 있다. 상기 카세트 뚜껑(84)는 카세트 개구(86)를 폐쇄하도록 구성될 수 있다. 상기 어셈블리(10)는 상기 설명에 따른 카세트 뚜껑 개방 장치(12)를 더 포함할 수 있다.
상기 어셈블리(10)의 효과들 및 장점들은 상기 카세트 뚜껑 개방 장치와 관련하여 전술한 장점들과 유사하며, 이들 효과들 및 장점들은 본 명세서에 참조로 삽입된다.
일 실시 예에서, 웨이퍼 카세트(80)는 전면 개구 통합 포드(Front Opening Unified Pod; FOUP)를 포함할 수 있다. FOUP는 표준에 따라 치수가 정해지고, 300mm 웨이퍼들에 사용된다. 이는 다른 제조사들의 웨이퍼 카세트들과 함께 사용하기 위한 카세트 뚜껑 개방 장치의 교환가능성을 증가시킬 수 있다. 또한 상이한 제조사들의의 클린룸 장치들에 사용하기 위한 카세트 뚜껑 개방 장치의 교환가능성을 증가시킬 수 있다.
본 개시는 또한 웨이퍼 카세트(80)를 개방 및 퍼지하는 방법을 개시할 수 있다. 이 방법은 웨이퍼들을 수용하기 위한 카세트 내부(88)를 정의하는 카세트 본체(82) 및 카세트 개구(86)를 갖는 웨이퍼 카세트(80)를 제공하는 단계를 포함할 수 있다. 상기 웨이퍼 카세트(80)는 카세트 개구(86)를 폐쇄하기 위한 카세트 뚜껑(84)을 더 포함할 수 있다. 이 방법은 카세트 본체(82)에 대해 카세트 뚜껑(84)을 개방 위치로 이동시켜 웨이퍼 카세트(80)를 개방하는 단계를 더 포함 할 수 있고, 그리고 카세트 개구(86)의 실질적으로 전체 폭 또는 높이를 따라 커튼 형상의 제트 스트림(40)을 이동시키면서 퍼지 가스의 커튼 형상의 제트 스트림(40)을 카세트 내부(88)로 송풍시킴으로써 카세트 내부(88)를 퍼지하는 단계를 포함할 수 있다.
본 방법의 효과들 및 장점들은 여기에 참조로서 결합된 뚜껑 개방 장치를 참조하여 설명된 것과 유사하다.
일 실시 예에서, 상기 퍼지하는 단계는 적어도 카세트 뚜껑(84)의 이동 중에 수행될 수 있다. 실질적으로 카세트 개구(86)의 전체 폭 또는 높이를 따라 커튼 형상의 제트 스트림(40)의 이동 및 카세트 뚜껑(84)의 이동은 결합된 운동이다.
상기 퍼지하는 단계는 상기 설명에 따른 카세트 뚜껑 개방 장치(12)에 의해 발효될 수 있다. 카세트 뚜껑(84)의 이동 중에 카세트 내부(88)를 퍼지하는 효과는, 상기 뚜껑 핸들러(30)는 결합된 상기 카세트 뚜껑(84)을 상기 분리 벽(16)에 평행하게 측 방향으로 이동시키도록 구성될 수 있다는 특징을 갖는 상기 장치(12)와 관련하여 기술된다. 또한 거기에서 언급된 것과 동일한 효과들 및 장점들이 상기 방법의 본 실시 예에도 적용된다.
본 발명의 예시적인 실시 예들이 첨부 도면을 참조하여 부분적으로 이상에서 설명되었지만, 본 발명은 이들 실시 예들로 제한되지 않는 것으로 이해되어야 한다. 개시된 실시 예들 대한 변형들은 도면들, 본 개시 및 첨부된 청구항들의 연구로부터, 청구된 발명을 실시함에 있어 당업자에 의해 이해되고 달성될 수 있다.
본 명세서 전체에서 "일 실시 예"또는 "실시 예"에 대한 언급은 상기 실시 예와 관련하여 설명된 특정의 특징, 구조 또는 특성이 본 발명의 적어도 하나의 실시 예에 포함됨을 의미한다. 따라서, 본 명세서 전체의 다양한 곳에서 "일 실시 예에서" 또는 "실시 예에서"라는 문구의 외형들이 모두 동일한 실시 예를 반드시 지칭하는 것은 아니다.
또한, 위에서 설명된 다양한 실시 예들 중 하나 이상의 특정한 특징들, 구조들 또는 특성들은 서로 독립적으로 구현되어 사용될 수 있으며, 명시적으로 기술되지 않은 새로운 실시 예들을 형성하기 위해 임의의 적절한 방식으로 결합될 수 있음에 유의한다. 상세한 설명 및 청구 범위에 사용된 참조 번호들은 실시 예들의 설명을 제한하지 않으며 청구 범위들을 제한하지도 않는다. 참조 번호들은 명확하게 하기 위해서만 사용된다.
10 ; 어셈블리
12 ; 카세트 뚜껑 개방 장치
14 ; 벽 구조
16 ; 분리 벽
18 ; 제1 측면
20 ; 제2 측면
22 ; 제1 공간
24 ; 제2 공간
26 ; 벽 개구
28 ; 카세트 도킹 포트
30 ; 뚜껑 핸들러
36 ; 송풍기
38 ; 노즐
40 ; 제트 스트림
42 ; 볼록면
44 ; 제2 퍼지 가스 소스
46 ; 배기 포트
48 ; 도어
50 ; 도어 핸들러
80 ; 웨이퍼 카세트
82 ; 카세트 본체
84 ; 카세트 뚜껑
86 ; 카세트 개구
88 ; 카세트 내부
90 ; 퍼지 가스로 충전된 영역
92 ; 클린룸 공기로 충전된 영역

Claims (15)

  1. 웨이퍼 카세트(80)와의 협업을 위해 구성된 클린룸 장치 내에서의 사용을 위한 카세트 뚜껑 개방 장치(12)로서,
    상기 웨이퍼 카세트(80)는 웨이퍼들을 수용하기 위한 카세트 내부(88)를 한정하며, 카세트 뚜껑(84)으로 폐쇄 가능한 카세트 개구(86)를 갖는 카세트 본체(82)를 포함하고,
    상기 카세트 뚜껑 개방 장치(12)는,
    제1 공간(22)과의 경계를 짓는 제1 측면(18)을 가지며, 제2 공간(24)과의 경계를 짓는 반대편의 제2 측면(20)을 갖는 분리 벽(16)을 포함하는 벽 구조(14)로서, 상기 분리 벽(16)은 그곳을 통하여 웨이퍼들을 이송하기 위한 벽 개구(26)를 갖는, 상기 벽 구조(14);
    상기 제1 공간(22)에 수용되는 웨이퍼 카세트를 도킹하기 위해 상기 벽 구조(14)의 상기 제1 측면(18)에 배치된 카세트 도킹 포트(28); 및
    상기 벽 구조(14)에 제공되고, 상기 벽 개구(26)에 대해 이동가능하며, 상기 카세트 도킹 포트(28)에 도킹된 상기 웨이퍼 카세트(80)의 상기 카세트 뚜껑(84)과 결합하도록 구성된 뚜껑 핸들러(30)로서, 상기 뚜껑 핸들러(30)는 결합된 상기 카세트 뚜껑(84)이 상기 카세트 본체(82)를 폐쇄하는 폐쇄 위치 및 결합된 상기 카세트 뚜껑(84)이 상기 카세트 본체(82)로부터 이격되는 개방 위치를 갖는, 상기 뚜껑 핸들러(30);를 포함하며,
    상기 카세트 뚜껑 개방 장치(12)는, 상기 벽 개구(26)의 높이 또는 폭에 실질적으로 걸쳐있는 세장형(elongated) 슬릿 형 노즐(38)을 가지며, 그리고 상기 제1 공간(22)의 방향으로 상기 분리 벽(16)으로부터 멀어져 상기 카세트 내부(88) 내로 퍼지 가스의 커튼 형상의 제트 스트림을 송풍하도록 구성된 송풍기(36)를 더 포함하는 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  2. 청구항 1에 있어서,
    상기 송풍기(36)는 상기 카세트 개구(86)의 실질적으로 전체 폭 또는 높이를 따라 이동가능하게 구성 및 배치되며, 그리고 상기 카세트 내부(88)로 송풍하도록 구성된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 뚜껑 핸들러(30)는 상기 분리 벽(16)에 평행하게, 결합된 상기 카세트 뚜껑(84)을 측 방향으로 이동하도록 구성되며, 상기 송풍기(36)는 상기 뚜껑 핸들러(30)에 연결되며, 그리고 결합된 상기 카세트 뚜껑(84)을 지나 상기 카세트 내부(88)로 송풍하도록 구성된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  4. 청구항 1 내지 청구항 3 중의 어느 하나에 있어서,
    상기 송풍기(36)는 볼록면(42)을 포함하며, 상기 세장형 슬릿 형 노즐(38)은 상기 볼록면(42) 위로 상기 제트 스트림을 송풍하도록 구성된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  5. 청구항 4에 있어서,
    상기 볼록면(42)의 주위로 제2 퍼지 가스를 공급하는 제2 퍼지 가스 소스(44)가 더 제공되며, 그리하여 코안다 효과(Coanda effect)의 결과로서, 공급된 상기 제2 퍼지 가스의 적어도 일부가 상기 볼록면(42) 위로 송풍되고 있는 퍼지 가스의 상기 제트 스트림(40)에 의해 혼입되는 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  6. 청구항 1 내지 청구항 5 중의 어느 하나에 있어서,
    상기 송풍기(36)는 상기 카세트 본체(82)의 실질적으로 전체 깊이를 가로질러 상기 퍼지 가스의 상기 커튼 형상의 제트 스트림(40)을 송풍하도록 구성된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  7. 청구항 1 내지 청구항 6 중의 어느 하나에 있어서,
    상기 벽 구조(14)는, 상기 뚜껑 핸들러(30)가 상기 폐쇄 위치로부터 상기 개방 위치로 이동될 때 그리고 상기 개방 위치에 있을 때, 상기 카세트 내부(88)로부터 가스를 제거하도록 구성된 배기 포트(46)를 포함하는 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  8. 청구항 7에 있어서,
    상기 배기 포트(46)는 벤튜리(venturi)를 포함하는 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  9. 청구항 1 내지 청구항 8 중의 어느 하나에 있어서,
    상기 분리 벽(16) 내의 상기 벽 개구(26)를 폐쇄하도록 구성되며, 상기 벽 개구(26)에 대해 이동가능한 도어(48);
    상기 도어(48)에 연결되는 도어 핸들러(50);를 더 포함하며,
    상기 도어 핸들러(50)는 상기 도어(48)를
    - 상기 도어(48)가 상기 벽 개구(26)를 폐쇄하는 폐쇄 위치; 및
    - 상기 도어가 상기 벽 개구(26)로부터 측 방향으로 멀어지게 이동되는 개방 위치;로 이동하도록 구성된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  10. 청구항 9에 있어서,
    상기 도어 핸들러(50)는 측 방향으로 함께 이동할 수 있도록 상기 뚜껑 핸들러(30)에 연결된 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  11. 청구항 1 내지 청구항 10 중의 어느 하나에 있어서,
    상기 제2 공간(24)은 상기 클린룸 장치의 국소환경(minienvironment)인 것을 특징으로 하는 카세트 뚜껑 개방 장치.
  12. 클린룸 장치 내에서의 사용을 위한 어셈블리(10)로서,
    웨이퍼 카세트(80); 및
    청구항 1 내지 청구항 11 중의 어느 하나에 따른 카세트 뚜껑 개방 장치;를 포함하며,
    상기 웨이퍼 카세트(80)는,
    웨이퍼들을 수용하기 위한 카세트 내부(88)를 한정하며, 카세트 개구(86)를 갖는 카세트 본체(82); 및
    상기 카세트 개구(86)를 폐쇄하도록 구성된 카세트 뚜껑(84);을 포함하는 것을 특징으로 하는 어셈블리.
  13. 청구항 12에 있어서,
    상기 웨이퍼 카세트(80)는 전면 개구 통합 포드(FOUP)를 포함하는 것을 특징으로 하는 어셈블리.
  14. 웨이퍼 카세트(80)를 개방 및 퍼지하기 위한 방법으로서, 상기 방법은,
    웨이퍼들을 수용하기 위한 카세트 내부(88)를 한정하며, 카세트 개구(86)를 갖는 카세트 본체(82)를 포함하는 웨이퍼 카세트(80)를 제공하는 단계로서, 상기 웨이퍼 카세트(80)는 상기 카세트 개구(86)를 폐쇄하기 위한 카세트 뚜껑(84)을 더 포함하는, 상기 웨이퍼 카세트(88)를 제공하는 단계;
    상기 웨이퍼 카세트(80)를 개방하기 위하여 상기 카세트 본체(82)에 대해 상기 카세트 뚜껑(84)을 개방 위치로 이동시키는 단계; 및
    커튼 형상의 제트 스트림(40)을 상기 카세트 개구(86)의 실질적으로 전체 폭 또는 높이를 따라 이동시키면서 퍼지 가스의 상기 커튼 형상의 제트 스트림(40)을 상기 카세트 내부(88)로 송풍시킴으로써 상기 카세트 내부(88)를 퍼지하는 단계;를 포함하는 방법.
  15. 청구항 14에 있어서,
    상기 퍼지하는 단계는 적어도 상기 카세트 뚜껑(84)의 상기 이동시키는 단계 동안에 발효되며, 상기 카세트 개구(86)의 실질적으로 전체 폭 또는 높이를 따라 상기 커튼 형상의 제트 스트림(40)을 이동시키는 단계 및 상기 카세트 뚜껑(84)을 이동시키는 단계는 결합된 운동들인 것을 특징으로 하는 방법.
KR1020200093651A 2019-07-31 2020-07-28 카세트 뚜껑 개방 장치 KR20210016276A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962881158P 2019-07-31 2019-07-31
US62/881,158 2019-07-31

Publications (1)

Publication Number Publication Date
KR20210016276A true KR20210016276A (ko) 2021-02-15

Family

ID=74258377

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200093651A KR20210016276A (ko) 2019-07-31 2020-07-28 카세트 뚜껑 개방 장치

Country Status (5)

Country Link
US (1) US20210035842A1 (ko)
JP (1) JP2021027355A (ko)
KR (1) KR20210016276A (ko)
CN (1) CN112309939A (ko)
TW (1) TW202123371A (ko)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7614840B2 (en) * 2002-12-30 2009-11-10 Tdk Corporation Wafer processing apparatus having dust proof function
JP4027837B2 (ja) * 2003-04-28 2007-12-26 Tdk株式会社 パージ装置およびパージ方法
JP4301456B2 (ja) * 2005-11-30 2009-07-22 Tdk株式会社 密閉容器の蓋開閉システム

Also Published As

Publication number Publication date
US20210035842A1 (en) 2021-02-04
CN112309939A (zh) 2021-02-02
TW202123371A (zh) 2021-06-16
JP2021027355A (ja) 2021-02-22

Similar Documents

Publication Publication Date Title
KR20210016276A (ko) 카세트 뚜껑 개방 장치
US9607871B2 (en) EFEM system and lid opening/closing method
US20150024671A1 (en) Efem and load port
US8047379B2 (en) Wafer container with purgeable supporting module
TWI708309B (zh) 門開閉系統及具備門開閉系統之載入埠
JP7100243B2 (ja) 排気ノズルユニット、ロードポート、及びefem
KR101023900B1 (ko) 용기 내의 대상물 처리 방법 및 그 방법에 사용되는 덮개개폐 시스템
KR100799411B1 (ko) 기밀 용기의 뚜껑 개폐 시스템
TWI479591B (zh) 晶圓承載機
US20090092468A1 (en) Inlet port mechanism for introducing object and treatment system
US20150235885A1 (en) Purge system, pod used with purge system, and load port apparatus
US20140157722A1 (en) Lid opening/closing system for closed container, and substrate processing method using the same
US8387799B2 (en) Wafer container with purgeable supporting module
JP7299474B2 (ja) Efem
US10239101B2 (en) Purge device and method of diffusing gas including purge gas
JP6687840B2 (ja) ロードポート
US20210323756A1 (en) Substrate container system
US7607880B2 (en) Wafer processing apparatus having dust proof function
US20060219274A1 (en) Substrate processing apparatus
JP7125591B2 (ja) ロードポート及びefem
US7614840B2 (en) Wafer processing apparatus having dust proof function
JPH06334019A (ja) 可搬式密閉コンテナ
KR102226506B1 (ko) 반송실 내의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
JP2004140378A (ja) 防塵機能を備えた半導体ウェーハ処理装置
JP4439140B2 (ja) 防塵機能を備えた半導体ウェーハ処理装置