KR20200023509A - 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법 - Google Patents

산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법 Download PDF

Info

Publication number
KR20200023509A
KR20200023509A KR1020207005305A KR20207005305A KR20200023509A KR 20200023509 A KR20200023509 A KR 20200023509A KR 1020207005305 A KR1020207005305 A KR 1020207005305A KR 20207005305 A KR20207005305 A KR 20207005305A KR 20200023509 A KR20200023509 A KR 20200023509A
Authority
KR
South Korea
Prior art keywords
substrate
amorphous silicon
patterned features
silicon layer
top surface
Prior art date
Application number
KR1020207005305A
Other languages
English (en)
Other versions
KR102509390B1 (ko
Inventor
루이 쳉
이 양
이홍 첸
카르틱 자나키라만
아브히짓 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200023509A publication Critical patent/KR20200023509A/ko
Application granted granted Critical
Publication of KR102509390B1 publication Critical patent/KR102509390B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

일 구현에서, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법이 제공된다. 방법은, 희생 유전체 층의 미리 결정된 두께를 기판 위에 증착시키는 단계를 포함한다. 방법은, 기판의 상부 표면을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 기판 상에 패터닝된 피쳐들을 형성하는 단계를 더 포함한다. 방법은, 패터닝된 피쳐들에 대해 플라즈마 처리를 수행하는 단계를 더 포함한다. 방법은, 기판의 노출된 상부 표면 및 패터닝된 피쳐들 상에 비정질 규소 층을 증착시키는 단계를 더 포함한다. 방법은, 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 비정질 규소 층을 기판의 상부 표면 및 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 더 포함한다.

Description

산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
본원에서 설명되는 구현들은 일반적으로, 집적 회로들의 제조, 특히, 산화물 물질들 상에의 비정질 규소 막들의 증착에 관한 것이다.
집적 회로들은 단일 칩 상에 수백만 개의 트랜지스터들, 커패시터들 및 저항기들을 포함할 수 있는 복잡한 디바이스들로 진화했다. 칩 설계들의 진화는 더 빠른 회로 및 더 큰 회로 밀도를 계속해서 요구한다. 더 큰 회로 밀도들을 갖는 더 빠른 회로들에 대한 요구들은, 대응하는 요구들을 그러한 집적 회로들을 제조하는 데에 사용되는 물질들에 부과한다. 특히, 집적 회로 구성요소들의 치수들이 미크론 이하 규모로 감소되기 때문에, 이제, 그러한 구성요소들로부터 적합한 전기적 성능을 획득하기 위해, 낮은 비저항 전도성 물질들뿐만 아니라 낮은 유전 상수의 절연 물질들을 사용하는 것이 필수적이다. 그러나, 이러한 낮은 유전 상수의 절연 물질들은 종종, 거칠기 문제들을 겪고, 이는 후속으로 증착되는 막들의 거칠기를 증가시키고 막들 사이의 접착에 영향을 미칠 수 있다. 추가적으로, 이러한 낮은 유전 상수의 절연 물질들 중 일부는 불연속적이고, 이는 아래놓인 산화물 물질들의 바람직하지 않은 식각으로 이어질 수 있다.
그러므로, 감소된 거칠기 및 개선된 연속성 중 적어도 하나를 갖는 얇은 비정질 규소 막들을 형성하는 개선된 방법들에 대한 필요성이 존재한다.
본원에서 설명되는 구현들은 일반적으로, 집적 회로들의 제조, 특히, 산화물 물질들 상에의 비정질 규소 막들의 증착에 관한 것이다. 일 구현에서, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법이 제공된다. 방법은, 희생 유전체 층의 미리 결정된 두께를 기판 위에 증착시키는 단계를 포함한다. 방법은, 기판의 상부 표면을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 기판 상에 패터닝된 피쳐들을 형성하는 단계를 더 포함한다. 방법은, 패터닝된 피쳐들에 대해 플라즈마 처리를 수행하는 단계를 더 포함한다. 방법은, 기판의 노출된 상부 표면 및 패터닝된 피쳐들 상에 비정질 규소 층을 증착시키는 단계를 더 포함한다. 방법은, 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 비정질 규소 층을 기판의 상부 표면 및 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 더 포함한다.
다른 구현에서, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법이 제공된다. 방법은, 희생 유전체 층의 미리 결정된 두께를 기판 위에 증착시키는 단계를 포함한다. 방법은, 기판의 상부 표면을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 기판 상에 패터닝된 피쳐들을 형성하는 단계를 더 포함한다. 방법은 패터닝된 피쳐들 상에 SAM을 형성하는 단계를 더 포함한다. 방법은, 기판의 노출된 상부 표면 및 SAM 상에 비정질 규소 층을 증착시키는 단계를 더 포함한다. 방법은, 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 비정질 규소 층을 기판의 상부 표면 및 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 더 포함한다.
또 다른 구현에서, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법이 제공된다. 방법은, 희생 유전체 층의 미리 결정된 두께를 기판 위에 증착시키는 단계를 포함한다. 방법은, 기판의 상부 표면을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 기판 상에 패터닝된 피쳐들을 형성하는 단계를 더 포함한다. 방법은, 패터닝된 피쳐들에 대해 플라즈마 처리를 수행하는 단계를 더 포함한다. 방법은 플라즈마 처리된 패터닝된 피쳐들 상에 SAM을 형성하는 단계를 더 포함한다. 방법은, 기판의 노출된 상부 표면 및 SAM 상에 비정질 규소 층을 증착시키는 단계를 더 포함한다. 방법은, 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 비정질 규소 층을 기판의 상부 표면 및 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 더 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 구현들의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시된다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본원에 설명되는 구현들의 실시를 위해 사용될 수 있는 장치의 개략도를 도시하고;
도 2는 본원에 설명되는 바와 같이, 플라즈마 처리에 의한 스페이서 형성을 위한 방법의 일 구현을 도시하는 프로세스 흐름도이고;
도 3a-3e는 도 2의 프로세스 흐름도에 따라 형성된 구조의 개략적인 측면도들이고;
도 4는 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법의 다른 구현을 도시하는 프로세스 흐름도이고;
도 5a-5f는 도 4의 프로세스 흐름도에 따라 형성된 구조의 개략적인 측면도들이고;
도 6은 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법의 다른 구현을 도시하는 프로세스 흐름도이고;
도 7은 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법의 다른 구현을 도시하는 프로세스 흐름도이고;
도 8a는 어떠한 처리도 없이 산화물 기판 상에 증착된 30 Å의 비정질 규소 막의 주사 전자 현미경(SEM) 이미지를 예시하고;
도 8b는 본 개시내용의 하나 이상의 구현에 따른, NH3 플라즈마로 산화물 표면을 처리한 후에 산화물 기판 상에 증착된 30 Å의 비정질 규소 막의 SEM 이미지를 예시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
이하의 개시내용은 막들 및 비정질 규소 막들을 산화물 물질들 상에 증착시키기 위한 기법들을 설명한다. 본 개시내용의 다양한 구현들의 완전한 이해를 제공하기 위해, 특정 세부사항들이 이하의 설명 및 도 1-8b에 열거된다. 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 증착 및 식각 프로세스들에 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 이하의 개시내용에 열거되지 않는다.
도면들에 도시된 세부사항들, 치수들, 각도들 및 다른 특징들 중 다수는 단지 특정 구현들을 예시할 뿐이다. 이에 따라, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고서 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 추가적으로, 본 개시내용의 추가의 구현들은 아래에 설명되는 세부사항들 중 몇몇 없이 실시될 수 있다.
본원에 설명되는 구현들은, 임의의 적합한 박막 막 증착 시스템을 사용하여 수행될 수 있는 화학 기상 증착(CVD) 프로세스, 예컨대, 열 CVD 프로세스, 열선 화학 기상 증착(HWCVD) 프로세스, 및/또는 플라즈마 강화 화학 기상 증착(PECVD) 프로세스와 관련하여 아래에 설명될 것이다. 적합한 시스템들의 예들은, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능한, DXZ® 처리 챔버를 사용할 수 있는 센츄라®(CENTURA®) 시스템들, 프리시전(PRECISION) 5000® 시스템들, 프로듀서®(PRODUCER®) 시스템들, 프로듀서® GT™ 시스템들, 프로듀서® XP 프리시전™ 시스템들 및 프로듀서® SE™ 시스템들을 포함한다. 열 CVD, HWCVD, 및 PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에 설명된 구현들로부터 혜택을 받도록 적응될 수 있다. 추가적으로, 본원에 설명된 열 CVD, HWCVD, 및/또는 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에 설명된 장치 설명은 예시적이며, 본원에 설명된 구현들의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다.
산화물 스택들 상에의 비정질 규소 막들의 증착 동안, 비정질 규소 막과 아래놓인 산화물 막 사이에서 거칠기 및 접착 문제들을 관찰하였다. 본원에 설명되는 구현들 중 일부는, 비정질 규소 증착 이전에, 아래놓인 산화물 막을 처리하는 방법들을 제공했고, 이는 산화물 상의 비정질 규소 막의 거칠기를 감소시킬 뿐만 아니라 비정질 규소 막과 산화물 스택들 사이의 접착/핵형성을 개선한다. 본 개시내용의 일부 구현들에서 거칠기를 감소시키기 위해, 아래놓인 산화물 막은 비정질 규소 막의 증착 전에 플라즈마 처리 및/또는 자가 조립 단층(SAM) 처리에 노출되었다. 이론에 얽매이지는 않지만, 플라즈마 처리 프로세스가, 산화규소 표면 상에 존재하는 댕글링 결합들을 변형하여 거칠기를 감소시키고 접착을 개선하는 것으로 여겨진다.
다른 구현에서는, 초박형(예를 들어, 10 Å 내지 100 Å 두께) 비정질 규소 막의 증착 직전에 플라즈마 처리(Ar, NH3, 또는 N2O) 또는 SAM 처리가 채용되었다. 일부 구현들에서, SAM 전구체는 BDEAS, HCDS, APTES, 또는 OTS로부터 선택된다. 이론에 얽매이지는 않지만, 전처리의 목적은 아래놓인 산화물 표면의 댕글링 결합들(예를 들어, Si-OH)을 Si-NH2 또는 Si-CH3로 변형하는 것이다. 처리 없이, 비정질 규소에 대한 산화물 기판 상의 핵형성 부위들의 개수는 상대적으로 높은 에너지 장벽에 의해 제한되었고, 결과적으로, 막 성장 메커니즘은 아일랜드 성장이다. 본 발명자들은, 불연속성이 전형적으로, 상이한 규소 도메인들의 경계에서 발생한 것을 관찰하였다. 추가적으로, 본 발명자들은, 상이한 관능기들(예를 들어, NH2 또는 CH3)이 플라즈마 처리 또는 SAM 처리에 의해 생성된 것을 관찰하였다. 이론에 얽매이지는 않지만, 댕글링 -OH 기와 비교하여, 규소 클러스터들은 NH2 또는 CH3 변형된 표면들에 대해 더 양호한 핵형성 계수를 갖는 것으로 여겨진다. 더 높은 핵형성 밀도로 인해, 도메인 크기가 감소되었고 막 연속성이 개선되었다.
본원에서 사용되는 바와 같이, "자가 조립 단층"("SAM")은 일반적으로, (예를 들어, 화학 결합에 의해) 표면에 부착되고, 그 표면에 대해 그리고 심지어 서로에 대해서도 바람직한 배향을 채택한 분자들의 층을 지칭한다. SAM은 전형적으로, 분자의 일 단부, "헤드 기"가 기판에 대한 특정한 가역적 친화도를 보여주는 양친매성(amphiphilic) 분자들의 조직화된 층을 포함한다. 헤드 기의 선택은 SAM의 적용에 따라 좌우될 것이며, 여기서 SAM 화합물들의 유형은 활용되는 기판에 기초한다. 일반적으로, 헤드 기는, 예를 들어, 습윤 및 계면 속성들을 변화시키기 위해, 테일 또는 "말단 단부"가 관능화될 수 있는 알킬 쇄에 연결된다. 자가 조립 단층들은, 충분한 시간을 두고, 표면의 속성들이 변화될 정도로 완전히 그 표면들을 덮는 것으로 나타났다. SAM을 형성하는 분자들은 다른 물질에 대해서 하나의 물질에(예를 들어, 규소 대 유전체) 선택적으로 부착될 것이고, 충분한 밀도로 이루어지면, 후속 증착을 성공적으로 차단하여, SAM으로 코팅되지 않은 물질들에 대한 선택적 증착을 허용할 수 있다.
도 1은, 본원에 설명되는 구현들에 따라, 산화물 처리 및 후속하는 비정질 규소 층 증착을 수행하기 위해 수행하는 데에 사용될 수 있는 기판 처리 시스템(132)의 개략도를 도시한다. 기판 처리 시스템(132)은 가스 패널(130) 및 제어기(110)에 결합된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는 일반적으로, 처리 체적(126)을 한정하는, 최상부 벽(124), 측벽(101) 및 바닥 벽(122)을 포함한다. 기판을 지지하기 위한 지지 페디스털(150)이 프로세스 챔버(100)의 처리 체적(126)에 제공된다. 지지 페디스털(150)은 스템(160)에 의해 지지되고, 전형적으로, 알루미늄, 세라믹, 및 다른 적합한 물질들로 제조될 수 있다. 지지 페디스털(150)은 변위 메커니즘(도시되지 않음)을 사용하여 프로세스 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
지지 페디스털(150)은 지지 페디스털(150)의 표면(192) 상에 지지되는 기판(190)의 온도를 제어하기에 적합한 내장형 가열 요소(170)를 포함할 수 있다. 지지 페디스털(150)은 전력 공급부(106)로부터 내장형 가열 요소(170)로 전류를 인가함으로써 저항식으로 가열될 수 있다. 내장형 가열 요소(170)는 니켈-철-크로뮴 합금(예를 들어, 인콜로이®(INCOLOY®)) 외피 관에 캡슐화된 니켈-크로뮴 와이어로 만들어질 수 있다. 전력 공급부(106)로부터 공급된 전류는 내장형 가열 요소(170)에 의해 생성되는 열을 제어하기 위해 제어기(110)에 의해 조절되고, 이에 의해, 막 증착 동안 실질적으로 일정한 온도로 기판(190) 및 지지 페디스털(150)을 유지한다. 공급된 전류는 지지 페디스털(150)의 온도를 섭씨 약 100 도 내지 섭씨 약 700 도로 선택적으로 제어하도록 조정될 수 있다.
종래의 방식으로 지지 페디스털(150)의 온도를 모니터링하기 위해 온도 센서(172), 예컨대, 열전대가 지지 페디스털(150)에 내장될 수 있다. 측정된 온도는, 기판을 원하는 온도로 유지하기 위해 내장형 가열 요소(170)에 공급되는 전력을 제어하기 위해서, 제어기(110)에 의해 사용된다.
진공 펌프(102)가, 프로세스 챔버(100)의 바닥에 형성된 포트에 결합된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하는 데에 사용된다. 진공 펌프(102)는 또한, 프로세스의 처리후 가스들 및 부산물들을 프로세스 챔버(100)로부터 배기한다.
기판 처리 시스템(132)은 챔버 압력을 제어하기 위해서, 프로세스 챔버(100)와 진공 펌프(102) 사이에 위치된, 챔버 압력을 제어하기 위한 추가적인 장비, 예를 들어, 밸브들(예를 들어, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수 있다.
복수의 애퍼쳐들(128)을 갖는 샤워헤드(120)가 지지 페디스털(150) 위에 프로세스 챔버(100)의 최상부 상에 배치된다. 샤워헤드(120)의 애퍼쳐들(128)은 프로세스 가스들을 프로세스 챔버(100) 내로 도입하는 데에 활용된다. 애퍼쳐들(128)은, 상이한 프로세스 요건들에 대해 다양한 프로세스 가스들의 유동을 용이하게 하기 위해, 상이한 크기들, 개수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 샤워헤드(120)는 처리 동안 다양한 가스들이 처리 체적(126)에 공급되는 것을 허용하는 가스 패널(130)에 연결된다. 기판(190)의 표면(191) 상에의 물질의 증착을 초래하는 프로세스 가스들의 열 분해를 강화하기 위해, 샤워헤드(120)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
샤워헤드(120) 및 지지 페디스털(150)은 한 쌍의 이격된 전극들을 처리 체적(126)에 형성할 수 있다. 하나 이상의 RF 전원들(140)은, 샤워헤드(120)와 지지 페디스털(150) 사이에서의 플라즈마의 생성을 용이하게 하기 위해, 정합 네트워크(138)를 통해 샤워헤드(120)에 바이어스 전위를 제공한다. 대안적으로, RF 전원들(140) 및 정합 네트워크(138)는 샤워헤드(120), 지지 페디스털(150)에 결합될 수 있거나, 샤워헤드(120) 및 지지 페디스털(150) 양쪽 모두에 결합될 수 있거나, 프로세스 챔버(100) 외부에 배치된 안테나(도시되지 않음)에 결합될 수 있다. 일 구현에서, RF 전원들(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수로 약 100 와트 내지 약 3,000 와트를 제공할 수 있다. 다른 구현에서, RF 전원들(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수로 약 500 와트 내지 약 1,800 와트를 제공할 수 있다.
제어기(110)는, 프로세스 순서를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데에 활용되는, 중앙 처리 유닛(CPU)(112), 메모리(116), 및 지원 회로(114)를 포함한다. CPU(112)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(116), 예컨대, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 결합되며, 캐시, 클럭 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 기판 처리 시스템(132)의 다양한 구성요소들의 사이의 양방향 통신들은, 신호 버스들(118)로 총칭되는 다수의 신호 케이블들을 통해 취급되고, 신호 버스들 중 일부는 도 1에 예시된다.
일 구현에서, 기판(190)의 표면(191)은 실질적으로 평평하다. 대안적으로, 기판(190)은 패터닝된 구조들, 표면에 형성된 트렌치들, 홀들, 또는 비아들을 갖는 표면을 가질 수 있다. 기판(190)은 또한, 표면 상에 또는 표면에 원하는 높이에 형성된 구조를 갖는 실질적으로 평평한 표면을 가질 수 있다. 기판(190)이 단일체로서 예시되지만, 기판(190)은 반도체 디바이스들, 예컨대, 금속 접촉들, 트렌치 격리들, 게이트들, 비트라인들, 또는 임의의 다른 인터커넥트 피쳐들을 형성하는 데에 사용되는 하나 이상의 물질을 함유할 수 있는 것으로 이해된다. 기판(190)은, 반도체 디바이스들을 제조하는 데에 활용되는, 하나 이상의 금속 층, 하나 이상의 유전체 물질, 반도체 물질, 및 이들의 조합들을 포함할 수 있다. 예를 들어, 기판(190)은 응용에 따라, 산화물 물질, 질화물 물질, 폴리실리콘 물질 등을 포함할 수 있다.
메모리 응용이 요구되는 일 구현에서, 기판(190)은 규소 기판 물질, 산화물 물질, 및 질화물 물질을 포함할 수 있고 그 사이에 폴리실리콘이 샌드위치되거나 샌드위치되지 않는다. 다른 구현에서, 기판(190)은 기판의 표면 상에 증착된 복수의 교번하는 산화물 및 질화물 물질들(즉, 산화물-질화물-산화물(ONO))(도시되지 않음)을 포함할 수 있다. 다양한 구현들에서, 기판(190)은 복수의 교번하는 산화물 및 질화물 물질들, 하나 이상의 산화물 또는 질화물 물질들, 폴리실리콘 또는 비정질 규소 물질들, 비정질 탄소와 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 규소와 교번하는 도핑되지 않은 규소, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 또는 도핑된 비정질 규소와 교번하는 도핑되지 않은 비정질 규소를 포함할 수 있다. 기판은 막 처리가 수행되는 임의의 기판 또는 물질 표면일 수 있다. 예를 들어, 기판(190)은 물질, 예컨대, 결정질 규소, 산화규소, 산질화규소, 질화규소, 응력가해진 규소, 규소 게르마늄, 텅스텐, 질화티타늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 규소 웨이퍼들 및 패터닝되거나 패터닝되지 않은 웨이퍼들, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 질화규소들, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 저 k 유전체들, 및 이들의 조합들일 수 있다.
도 2는 본원에 설명되는 바와 같이, 플라즈마 처리에 의한 스페이서 형성을 위한 방법(200)의 일 구현을 도시하는 프로세스 흐름도이다. 도 3a-3e는 도 2의 프로세스에 따라 형성된 구조의 개략적인 측면도들이다. 형성 프로세스는 플라즈마와 함께 또는 플라즈마 없이 일어날 수 있다. 증착은 열 CVD 프로세스 또는 PECVD 프로세스를 통해 일어날 수 있다. 예시 목적을 위해 자기 정렬 이중 패터닝 프로세스가 선택되는 것이 고려된다. 본 개시내용의 개념들은, 다양한 반도체 프로세스들, 예컨대, NAND 플래시 응용, DRAM 응용, 또는 CMOS 응용 등에서 필요에 따라 가변 라인 폭 및 간격 또는 보호 희생 층을 갖는 보호 스페이서들의 사용을 필요로 할 수 있는 다른 프로세스들, 예를 들어, 단일 또는 이중 패터닝 방식, 예컨대, 비아/홀 수축 프로세스, 자기 정렬 삼중 패터닝(SATP) 프로세스, 또는 자기 정렬 사중 패터닝(SAQP) 프로세스 등에 동등하게 적용가능하다. 추가적으로, 본원에서 설명되는 방법들은, 비정질 규소를 아래놓인 산화물 막 상에 증착시키는 것이 바람직한 임의의 프로세스에 사용될 수 있다.
방법(200)은 작동(210)에서 기판(300) 상에 희생 구조 층(320)을 형성함으로써 시작한다. 기판은 기판(190)과 유사할 수 있다. 희생 구조 층(320)은 규소 기재의 물질, 예컨대, 산화규소, 질화규소 또는 폴리실리콘일 수 있다. 대안적으로, 희생 구조 층(320)은 탄소 기재의 물질, 예컨대, 비정질 탄소일 수 있다. 탄소 기재의 희생 구조 층이 요구되는 경우들에서, 희생 구조 층(320)은 비정질 탄소와 수소의 조합(수소화 비정질 탄소 막)일 수 있다. 하나의 예시적인 비정질 탄소 막은 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 스트리핑가능한 어드밴스드 패터닝 필름™(Advanced Patterning Film™)(APF) 물질일 수 있다. 희생 구조 층(320)에 사용되는 물질들의 선택은, 희생 구조 층 상에 형성되는 등각 보호 층에 대한 식각/애싱 속도에 따라 변할 수 있다는 점이 고려된다. 도시되지 않았지만, 탄소 기재의 희생 구조 층이 사용되는 특정 구현들에서, 리소그래픽 패터닝 프로세스 동안 광의 반사를 제어하기 위해 하나 이상의 반사방지 코팅 층이 탄소 기재의 희생 구조 층 상에 증착될 수 있다. 적합한 반사방지 코팅 층은 이산화규소, 산질화규소, 질화규소, 또는 이들의 조합들을 포함할 수 있다. 하나의 예시적인 반사방지 코팅 층은, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 DARC™ 물질일 수 있다.
기판(300)은 도시된 바와 같이 실질적으로 평평한 표면(323)을 가질 수 있다. 대안적으로, 기판(300)은 패터닝된 구조들, 표면에 형성된 트렌치들, 홀들, 또는 비아들을 갖는 표면을 가질 수 있다. 기판(300)이 단일체로서 예시되지만, 기판(300)은 반도체 디바이스들, 예컨대, 금속 접촉들, 트렌치 격리들, 게이트들, 비트라인들, 또는 임의의 다른 인터커넥트 피쳐들을 형성하는 데에 사용되는 하나 이상의 물질을 함유할 수 있다. 일 구현에서, 기판(300)은, 반도체 디바이스들을 제조하는 데에 활용되는, 하나 이상의 금속 층, 하나 이상의 유전체 물질, 반도체 물질, 및 이들의 조합들을 포함할 수 있다. 예를 들어, 기판(300)은 응용에 따라, 산화물 물질, 질화물 물질, 폴리실리콘 물질 등을 포함할 수 있다. 메모리 응용이 요구되는 경우들에서, 기판(300)은 규소 기판 물질, 산화물 물질, 및 질화물 물질을 포함할 수 있고 그 사이에 폴리실리콘이 샌드위치되거나 샌드위치되지 않는다.
작동(220)에서, 도 3a에 도시된 바와 같이, 레지스트 층(330), 예컨대, 포토레지스트 물질이 희생 구조 층(320) 상에 증착된다.
작동(230)에서, 희생 구조 층(320)으로부터 형성된 패터닝된 피쳐들(321)은, 도 3b에 도시된 바와 같이, 표준 포토리소그래피 및 식각 기법들을 사용하여 기판(300) 상에 생성된다. 패터닝된 피쳐들은 임의의 적합한 물질, 예를 들어, 산화물들, 예컨대, 이산화규소, 산질화규소, 또는 질화물들, 예컨대, 질화규소로 형성될 수 있다. 패터닝된 피쳐들은 때때로, 플레이스홀더들, 맨드릴들 또는 코어들로 지칭되고, 사용되는 포토레지스트 물질에 기초하여 특정한 선폭들 및/또는 간격을 갖는다. 패터닝된 피쳐들(321)의 폭은, 레지스트 층(330)이 트리밍 프로세스를 겪게 함으로써 조정될 수 있다. 패턴이 희생 구조 층(320) 내에 전사된 후, 임의의 잔류 포토레지스트 및 하드마스크 물질(사용된 경우)은 적합한 포토레지스트 스트리핑 프로세스를 사용하여 제거된다.
작동(240)에서, 플라즈마 처리가 희생 구조 층(320) 및 선택적으로 기판의 노출된 표면들에 대해 수행될 수 있다. 이론에 얽매이지는 않지만, 일부 구현들에서, 플라즈마 처리 프로세스는 희생 구조 층(320)의 표면 거칠기를 감소시키는 것으로 여겨진다. 추가적으로, 일부 구현들에서, 플라즈마 처리 프로세스는 희생 구조 층(320)의 연속성을 증가시키는 것으로 여겨진다. 일 구현에서, 플라즈마 처리는 희생 구조 층이 형성되는 동일한 챔버에서 수행된다. 일 구현에서, 플라즈마 처리는 비정질 규소 층이 형성되는 동일한 챔버에서 수행된다. 대안적으로, 플라즈마 처리는 상이한 플라즈마 프로세스 챔버에서 수행될 수 있다.
본 개시내용의 일 구현에 따르면, 플라즈마 처리는, 기판 상에 형성된 희생 구조 층을 갖는 기판을 플라즈마 프로세스 챔버, 예컨대, 도 1의 플라즈마 챔버에 위치시키고, 처리 가스를 플라즈마 프로세스 챔버로 유동시키고, 플라즈마 프로세스 챔버에서 플라즈마를 타격함으로써 수행될 수 있다. 일 구현에서, 처리 가스는 아르곤(Ar), 수소(H2), 암모니아(NH3), 질소(N2), 아산화질소(N2O), 또는 이들의 조합들일 수 있다. 플라즈마는 알려진 기법들(예를 들어, 무선 주파수 여기들, 용량성 결합 전력, 유도성 결합 전력 등)을 사용하여 생성될 수 있다. 일 구현에서, 플라즈마는 용량성 결합된 플라즈마(CCP), 유도성 결합된 플라즈마(ICP), 또는 CCP와 ICP의 조합이다. 일부 구현들에서, 플라즈마는 원격 플라즈마 시스템을 사용하여 형성되고 처리 영역으로 전달될 수 있다.
플라즈마 처리 동안, 프로세스 챔버는 섭씨 약 100 도 내지 섭씨 약 550 도의 온도로 유지될 수 있다. 처리 가스는 약 20 sccm 내지 약 20,000 sccm의 유량으로 프로세스 챔버로 유동될 수 있다. 챔버 압력은 약 0.01 Torr 내지 약 50 Torr(예를 들어, 약 0.1 Torr 내지 20 Torr)일 수 있다. 프로세스 챔버에서 플라즈마를 생성하기 위해, RF 전력이 프로세스 챔버의 처리 가스에 인가된다. 일 구현에서, RF 전력은 약 50 kHz 내지 약 13.6 MHz의 주파수로 약 50 와트 내지 약 3,000 와트(예를 들어, 약 100 와트 내지 약 3,000 와트; 또는 약 50 와트 내지 약 2,000 와트)로 제공할 수 있다. 일부 구현들에서, 주파수는 약 2 MHz, 13.56 MHz, 또는 2 MHz 및 13.56 MHz를 포함하는 혼합 주파수이다. 일부 구현들에서, 기판은 플라즈마 처리 프로세스 동안 바이어싱된다. 기판에 인가되는 바이어스는 0 와트 내지 1,000 와트일 수 있다. 플라즈마 처리는 약 5 초 내지 약 600 초(예를 들어, 약 10 초 내지 약 300 초)의 지속기간 동안 수행될 수 있다.
일부 구현들에서, 작동(240)의 플라즈마 처리 프로세스는 HWCVD 프로세스로 대체될 수 있는데, 여기서 HWCVD는 처리 가스를 활성화하는 데에 사용된다.
작동(250)에서, 도 3c에 도시된 바와 같이, 비정질 규소 층(340)이 기판(300)의 노출된 표면들 및 패터닝된 피쳐들(321) 상에 등각으로 또는 실질적으로 등각으로 증착된다. 비정질 규소 층(340)은 본원에 설명되는 구현들에 따라 형성된다. 비정질 규소 층(340)의 두께는 약 5 Å 내지 약 200 Å(예를 들어, 약 10 Å 내지 약 100 Å)일 수 있다.
일부 구현들에서, 등각 비정질 규소(a-Si) 증착은, 기판 온도를 최소화하면서 규소 전구체의 분압을 최대화함으로써 달성될 수 있다.
비정질 규소 층(340)은 규소 함유 가스 혼합물을 처리 체적(126) 내로 유동시킴으로써 형성될 수 있다. 규소 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 처리 체적(126) 내로 유동될 수 있다. 규소 함유 가스 혼합물은 적어도 하나의 규소 함유 공급원 가스 및 선택적으로 불활성 가스 및/또는 희석 가스를 포함할 수 있다. 일 구현에서, 규소 함유 공급원 가스 혼합물은 실란 함유 공급원 가스 혼합물이다. 일 구현에서, 규소 함유 공급원 가스는 실란이다. 적합한 규소 전구체들의 예들은, 실란들, 예컨대, 실란(SiH4) 및 실험식(SiaH(2a+2), 여기서 a=1, 2, 3, 4, 5, 6,...)을 갖는 더 고차의 실란들, 예컨대, 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 이소테트라실란(Si4H10), 네오펜타실란(Si5H12), 헥사실란(Si6H14)뿐만 아니라 다른 것들도 포함한다. 적합한 실란들의 다른 예들은 시클릭 실란들, 예컨대, 시클로펜타실란(Si5H10), 시클로헥사실란(Si6H12)뿐만 아니라 다른 것들도 포함한다. 다른 적합한 규소 전구체들은 할로겐화 실란들을 포함한다. 예를 들어, 할로겐화 실란들은 사플루오린화규소(SiF4), 사염화규소(SiCl4), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6) 등을 포함한다. 예를 들어, 적당한 처리 온도 및 높은 증기압을 갖는 디실란은 단독으로 또는 다른 종들과 조합하여 규소 전구체로서 사용될 수 있다.
일부 구현들에서, 규소 함유 가스 혼합물은 불활성 가스 또는 희석 가스를 더 포함한다. 적합한 희석 가스들은, 특히, 헬륨(He), 아르곤(Ar), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들을 포함한다. 일부 구현들에서, Ar, He, 및 N2는 붕소 도핑된 비정질 규소 층의 밀도 및 증착 속도를 제어하는 데에 사용된다. 일부 구현들에서, N2 및/또는 NH3의 추가는 비정질 규소 층의 수소 비율을 제어하는 데에 사용될 수 있다. 대안적으로, 희석 가스들은 증착 동안 사용되지 않을 수 있다.
적합한 불활성 가스들, 예컨대, 아르곤(Ar) 및/또는 헬륨(He)이 규소 함유 가스 혼합물과 함께 프로세스 챔버(100) 내에 공급될 수 있다. 다른 불활성 가스들, 예컨대, 질소(N2) 및 산화질소(NO)가 또한, 비정질 규소 층의 밀도 및 증착 속도를 제어하는 데에 사용될 수 있다. 추가적으로, 다양한 다른 처리 가스들이, 비정질 규소 층의 속성들을 변형하기 위해 가스 혼합물에 추가될 수 있다. 일 구현에서, 처리 가스들은 반응성 가스들, 예컨대, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 추가는 증착된 비정질 규소 층의 수소 비율(예를 들어, 규소 대 수소 비율)을 제어하는 데에 사용될 수 있다. 이론에 얽매이지는 않지만, 비정질 규소 막에 존재하는 수소 비율이 층 속성들, 예컨대, 반사율을 통제하는 것으로 여겨진다.
일부 구현들에서, 비정질 규소 층(340)은 도펀트를 포함한다. 일부 구현들에서, 도펀트는 붕소 또는 인이다. 일부 구현들에서, 붕소 함유 가스 혼합물 또는인 함유 가스 혼합물이 처리 체적(126) 내로 유동된다. 붕소 함유 가스 혼합물 또는 인 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 처리 체적(126) 내로 유동될 수 있다. 일 구현에서, 붕소 함유 가스 혼합물은 보란 함유 가스 혼합물이다. 일 구현에서, 붕소 함유 가스 혼합물은 붕소 함유 화합물 및 선택적으로 희석 가스 및/또는 불활성 가스를 포함한다. 적합한 붕소 함유 화합물들의 예들은, 디보란(B2H6), 디메틸아민 보란(DMAB 또는 [NH(CH3)2BH3]), 트리메틸보란(TMB 또는 B(CH3)3), 트리에틸보란(TEB), 이들의 조합들 및 유사한 화합물들을 포함한다. 일 구현에서, 붕소 함유 가스 혼합물은 디보란을 포함한다. 일부 구현들에서, 인 함유 가스 혼합물은 포스핀 함유 가스 혼합물이다.
일부 구현들에서, 규소 함유 가스 혼합물은 실질적으로 오직 디실란만을 포함한다. 본원에 사용된 바와 같이, "실질적으로 오직 디실란만"이라는 문구는 활성 종들의 적어도 95%가 디실란인 것을 의미한다. 다른 가스들, 예컨대, 캐리어 가스들 및 불활성 가스들이 이 양에 포함될 수 있다.
증착된 막은, 막으로부터 방출되거나 탈기할 수 있는 가스방출가능 종들, 예를 들어, 수소를 포함한다. 불활성 탈기 환경은 가스성 종들이 방출될 기회를 제공하여, 최종 막의 기포발생을 최소화한다. 불활성 탈기 환경은, 막의 가스방출가능 종들의 제거를 허용하거나 장려하는 임의의 조건을 포함할 수 있다. 예를 들어, 탈기 환경은 불활성 가스로 본질적으로 구성될 수 있다. 이와 관련하여 사용되는 바와 같이, "본질적으로 구성"은 증착된 막의 가스방출을 방해하는 가스성 종들이 없음을 의미한다. 다른 반응성 종들이 막의 가스방출을 억제하지 않고 존재할 수 있으면서 여전히 불활성 가스로 본질적으로 구성된다. 일부 구현들에서, 불활성 탈기 환경은 증착된 막 상에 발생하는 화학 반응들이 실질적으로 없는 환경이다. 예를 들어, 증착된 막과 반응성일 수 있는 화학 종들이 실질적으로 없을 수 있다. 일부 구현들에서, 탈기 환경은 가스방출가능 종들의 탈기를 야기하기 위해 UV 광, 플라즈마 또는 마이크로파 방사선을 사용하지 않는다.
하나 이상의 구현에서, 비정질 규소 막은 화학 기상 증착 프로세스에 의해 증착된다. 유사한 처리 챔버들이 사용될 수 있지만, 화학 기상 증착(CVD) 프로세스들은 원자 층 증착(ALD)과 상이하다. ALD 프로세스는, 이원(또는 더 고차의) 반응을 사용하여 물질의 단일 층이 증착되는 자기 제한적 프로세스이다. 프로세스는 기판 표면 상의 이용가능한 활성 부위들 모두가 반응될 때까지 계속된다. CVD 프로세스는 자기 제한적이지 않고, 막은 임의의 미리 결정된 두께까지 성장될 수 있다.
적합한 불활성 가스들은 아르곤, 헬륨, 질소, 및/또는 이들의 혼합물들 중 하나 이상을 포함하지만 이에 제한되지는 않는다. 일부 실시예들에서, 불활성 탈기 환경은 실질적으로 산소를 포함하지 않는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 산소를 포함하지 않는"은, 불활성 탈기 환경이, 기판 표면에 인접한 주위 조건들에 (원자 기준으로) 약 1% 미만의 산소 원자들을 갖는 것을 의미한다.
하나 이상의 구현에서, 가스방출가능 종들은 수소를 포함한다. 이와 관련하여 사용되는 바와 같이, 수소를 포함하는 가스방출가능 종들은 수소, SiH2, SiH3, SiH4, 다른 저차의 실란들, 및/또는 다른 더 고차의 실란들 중 하나 이상을 포함할 수 있다.
처리 챔버에서의 압력, 또는 처리 챔버의 영역은 전구체 노출 및 탈기 환경에 대해 독립적으로 제어될 수 있다. 일부 구현들에서, 규소 전구체 및 탈기 환경 각각에 대한 노출은, 약 20 mTorr 내지 약 600 Torr 범위의(예를 들어, 약 50 mTorr 내지 약 200 Torr 범위의) 압력에서 일어난다. 일부 구현들에서, 규소 전구체는 약 500 mTorr 이상, 또는 약 1 Torr 이상, 또는 약 5 Torr 이상, 또는 약 10 Torr 이상, 또는 약 20 Torr 이상, 또는 약 30 Torr 이상의 압력에서 기판에 노출된다.
기판 표면이 전구체 또는 탈기 환경에 노출되는 온도는, 예를 들어, 전구체 및 형성되고 있는 디바이스의 열 예산에 따라 변화될 수 있다. 일부 구현들에서, 규소 전구체들 및 탈기 환경 각각에 대한 노출은, 섭씨 약 100 도 내지 섭씨 약 700 도 범위의 온도에서 일어난다. 일부 구현들에서, 규소 전구체 및 탈기 환경 각각에 대한 노출은, 섭씨 약 350 도 내지 섭씨 약 700 도 범위의 온도에서 일어난다. 하나 이상의 구현에서, 규소 전구체는 섭씨 약 375 도 내지 섭씨 약 600 도 범위의, 또는 섭씨 약 400 도 내지 섭씨 약 550 도 범위의 온도에서 기판에 노출된다.
일부 구현들에서, 디실란 기반의 등각 a-Si 프로세스들은 섭씨 약 450 도 미만의 기판 온도로 증착되고, 디실란 분압은 약 20 Torr 이상이다. 예시적인 구현에서, 기판은 약 20 Torr 이상의 압력에서 섭씨 약 400 도 내지 섭씨 약 550 도 범위의 온도에서 규소 전구체에 노출된다.
작동(260)에서, 패터닝된 피쳐들(321) 상에 비정질 규소 층(340)이 등각으로 증착된 후, 비정질 규소 층(340)은, 영역들(311)에 있는, 기판(300)의 상부 표면을 노출시키고 패터닝된 피쳐들(321)의 상부 표면을 노출시키기 위해 비등방성으로 식각(수직 식각)되어, 도 3d에 도시된 바와 같이, 비정질 규소 기재의 측벽 스페이서들(341)에 의해 보호되는 (희생 구조 층(320)으로부터 형성된) 패터닝된 피쳐들(321)을 초래한다.
작동(270)에서, 패터닝된 피쳐들(321)(희생 구조 층(320)으로부터 형성됨)이 종래의 플라즈마 식각 프로세스 또는 다른 적합한 습식 스트리핑 프로세스를 사용하여 제거되어, 도 3e에 도시된 바와 같이, 비-희생 비정질 규소 기재의 측벽 스페이서들(341)을 남긴다. 플라즈마 식각 프로세스는, 플루오린 기재의 식각 화학물질을 기판 위의 플라즈마 내에 도입함으로써 이루어질 수 있다. 개선된 물질 품질 및 적용범위로 인해, 비정질 규소 기재의 측벽 스페이서들(341)은 손상되지 않는데, 이는 이들이 플루오린 기재의 반응성 식각 화학물질 또는 습식 스트립 기반의 화학물질에 대해 매우 우수한 선택성을 갖기 때문이다. 패터닝된 피쳐들(321)의 제거 시에, 나머지 비정질 규소 기재의 측벽 스페이서들(341)은 아래놓인 층, 층 스택 또는 구조를 식각하기 위한 하드마스크로서 사용될 수 있다. 특히, 이러한 패터닝 프로세스에 따른 비정질 규소 기재의 측벽 스페이서들(341)의 밀도는 패터닝된 피쳐들(321)의 밀도의 두 배이고, 비정질 규소 기재의 측벽 스페이서들(341)의 피치는 패터닝된 피쳐들(321)의 피치의 절반이다. 비정질 규소 기재의 측벽 스페이서들(341)은 아래놓인 물질 층들을 패터닝하기 위한 하드마스크로서 사용될 수 있다.
도 4는 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법(400)의 다른 구현을 도시하는 프로세스 흐름도이다. 도 5a-5e는 도 4의 프로세스 흐름도에 따라 형성된 구조의 개략적인 측면도들이다. 형성 프로세스는 플라즈마와 함께 또는 플라즈마 없이 일어날 수 있다. 증착은 열 CVD 프로세스, HWCVD 프로세스, 또는 PECVD 프로세스를 통해 일어날 수 있다. 예시 목적을 위해 자기 정렬 이중 패터닝 프로세스가 선택되는 것이 고려된다. 본 개시내용의 개념들은, 다양한 반도체 프로세스들, 예컨대, NAND 플래시 응용, DRAM 응용, 또는 CMOS 응용 등에서 필요에 따라 가변 라인 폭 및 간격 또는 보호 희생 층을 갖는 보호 스페이서들의 사용을 필요로 할 수 있는 다른 프로세스들, 예를 들어, 단일 또는 이중 패터닝 방식, 예컨대, 비아/홀 수축 프로세스, 자기 정렬 삼중 패터닝(SATP) 프로세스, 또는 자기 정렬 사중 패터닝(SAQP) 프로세스 등에 동등하게 적용가능하다. 추가적으로, 본원에서 설명되는 방법들은, 비정질 규소를 아래놓인 산화물 막 상에 증착시키는 것이 바람직한 임의의 프로세스에 사용될 수 있다.
방법(400)은 작동(410)에서 기판(500) 상에 희생 구조 층(520)을 형성함으로써 시작한다. 기판은 기판(190)과 유사할 수 있다. 희생 구조 층(520)은 규소 기재의 물질, 예컨대, 산화규소, 질화규소 또는 폴리실리콘일 수 있다. 대안적으로, 희생 구조 층(520)은 탄소 기재의 물질, 예컨대, 비정질 탄소일 수 있다. 탄소 기재의 희생 구조 층이 요구되는 경우들에서, 희생 구조 층(520)은 비정질 탄소와 수소의 조합(수소화 비정질 탄소 막)일 수 있다. 하나의 예시적인 비정질 탄소 막은 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 스트리핑가능한 어드밴스드 패터닝 필름™(APF) 물질일 수 있다. 희생 구조 층(520)에 사용되는 물질들의 선택은, 희생 구조 층 상에 형성되는 등각 보호 층에 대한 식각/애싱 속도에 따라 변할 수 있다는 점이 고려된다. 도시되지 않았지만, 탄소 기재의 희생 구조 층이 사용되는 특정 구현들에서, 리소그래픽 패터닝 프로세스 동안 광의 반사를 제어하기 위해 하나 이상의 반사방지 코팅 층이 탄소 기재의 희생 구조 층 상에 증착될 수 있다. 적합한 반사방지 코팅 층은 이산화규소, 산질화규소, 질화규소, 또는 이들의 조합들을 포함할 수 있다. 하나의 예시적인 반사방지 코팅 층은, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 DARC™ 물질일 수 있다.
기판(500)은 도시된 바와 같이 실질적으로 평평한 표면(523)을 가질 수 있다. 대안적으로, 기판(500)은 패터닝된 구조들, 표면에 형성된 트렌치들, 홀들, 또는 비아들을 갖는 표면을 가질 수 있다. 기판(500)이 단일체로서 예시되지만, 기판(500)은 반도체 디바이스들, 예컨대, 금속 접촉들, 트렌치 격리들, 게이트들, 비트라인들, 또는 임의의 다른 인터커넥트 피쳐들을 형성하는 데에 사용되는 하나 이상의 물질을 함유할 수 있다. 일 구현에서, 기판(500)은, 반도체 디바이스들을 제조하는 데에 활용되는, 하나 이상의 금속 층, 하나 이상의 유전체 물질, 반도체 물질, 및 이들의 조합들을 포함할 수 있다. 예를 들어, 기판(500)은 응용에 따라, 산화물 물질, 질화물 물질, 폴리실리콘 물질 등을 포함할 수 있다. 메모리 응용이 요구되는 경우들에서, 기판(500)은 규소 기판 물질, 산화물 물질, 및 질화물 물질을 포함할 수 있고 그 사이에 폴리실리콘이 샌드위치되거나 샌드위치되지 않는다.
작동(420)에서, 도 5a에 도시된 바와 같이, 레지스트 층(530), 예컨대, 포토레지스트 물질이 희생 구조 층(520) 상에 증착된다.
작동(430)에서, 희생 구조 층(520)으로부터 형성된 패터닝된 피쳐들(521)은, 도 5b에 도시된 바와 같이, 표준 포토리소그래피 및 식각 기법들을 사용하여 기판(500) 상에 생성된다. 패터닝된 피쳐들은 임의의 적합한 물질, 예를 들어, 산화물들, 예컨대, 이산화규소, 산질화규소, 또는 질화물들, 예컨대, 질화규소로 형성될 수 있다. 패터닝된 피쳐들은 때때로, 플레이스홀더들, 맨드릴들 또는 코어들로 지칭되고, 사용되는 포토레지스트 물질에 기초하여 특정한 선폭들 및/또는 간격을 갖는다. 패터닝된 피쳐들(521)의 폭은, 레지스트 층(530)이 트리밍 프로세스를 겪게 함으로써 조정될 수 있다. 패턴이 희생 구조 층(520) 내에 전사된 후, 임의의 잔류 포토레지스트 및 하드마스크 물질(사용된 경우)은 적합한 포토레지스트 스트리핑 프로세스를 사용하여 제거된다.
작동(440)에서, 패터닝된 피쳐들(521) 및 선택적으로 기판의 노출된 표면들 상에 SAM(535)을 형성하기 위해 기판(500)은 SAM 전구체에 노출된다. 이론에 얽매이지는 않지만, SAM(535)은 패터닝된 피쳐들(521)에 대한 비정질 규소 층의 접착을 개선하는 것으로 여겨진다. SAM(535)은 비정질 규소 층을 패터닝된 피쳐들(521)에 고정시키는 것을 돕는 것으로 여겨진다. 사용되는 물질들 및 사용되는 SAM 전구체들에 따라, SAM 전구체는 용액 기반 전구체 또는 가스성 전구체일 수 있다. SAM 전구체는 SAM 분자들, SAM 분자들을 형성하는 전구체들, 또는 둘 모두를 포함할 수 있다. 흡착된 SAM 분자들은 SAM(535)을 형성한다.
SAM(535)은, 분자의 일 단부, 헤드 기가, 패터닝된 피쳐들(521)을 형성하는 산화규소 물질에 대한 특정한 가역적 친화도를 보여주는 양친매성일 수 있는 SAM 분자들의 조직화된 층을 포함한다. 헤드 기는 전형적으로, 말단 단부("R")가 관능화될 수 있는 알킬 쇄에 연결된다. SAM(535)은 패터닝된 피쳐들(521) 상으로의 헤드 기의 화학 흡착에 이어서 소수성 테일 기들의 2차원 구성에 의해 형성된다. SAM 흡착은, SAM 분자들을 함유하는 희석 용액 내에 기판(500)을 침지시킴으로써 용액으로부터 발생할 수 있다. 일 구현에서, SAM(535)은 용액으로부터 스핀 코팅을 통해 증착된다. SAM 흡착은 또한, 가스성 전구체에 기판(500)을 노출시킴으로써 기상 증착으로부터 발생할 수 있다. SAM(535)의 두께는 SAM 분자의 알킬 쇄의 탄소 쇄 길이를 조정함으로써 조정될 수 있다. 일반적으로, SAM(535)은 SAM 분자들과의 화학 반응 능력을 갖는 표면 상에만 형성될 수 있다.
도 5c에 도시된 구현들에서, SAM(535)을 형성하는 데에 활용되는 SAM 전구체는, 패터닝된 피쳐들(521)의 노출된 표면(예를 들어, 산화규소 물질) 및 후속으로 증착된 비정질 규소 층의 노출된 표면과만 화학적으로 반응하도록 선택된다. 그렇게 함으로써, SAM(535)은 패터닝된 피쳐들(521)의 노출된 표면 상에 대부분 형성될 수 있다. 일부 구현들에서, 활용되는 SAM 전구체는, 패터닝된 피쳐들(521), 기판의 노출된 표면들, 및 후속으로 증착된 비정질 규소 층과 화학적으로 반응하도록 선택된다. 그렇게 함으로써, SAM(535)은 기판의 노출된 표면들 및 패터닝된 피쳐들(521)의 노출된 표면들 양쪽 모두 상에 대부분 형성될 수 있다.
활용될 수 있는 SAM 전구체들의 예들은, 반도체 제조 프로세스에서 후속으로 증착되는 물질들의 증착을 차단하기에 적합한 특성들을 갖는 다른 SAM 전구체들에 추가하여, 이하에서 설명되는 전구체들 ― 이들의 조합들, 혼합물들, 및 그라프트들을 포함함 ― 을 포함한다. 일 구현에서, SAM 전구체들은 카르복실산 물질들, 예컨대, 메틸카르복실산들, 에틸카르복실산들, 프로필카르복실산들, 부틸카르복실산들, 펜틸카르복실산들, 헥실카르복실산들, 헵틸카르복실산들, 옥틸카르복실산들, 노닐카르복실산들, 데실카르복실산들, 운데실카르복실산들, 도데실카르복실산들, 트리데실카르복실산들, 테트라데실카르복실산들, 펜타데실카르복실산들, 헥사데실카르복실산들, 헵타데실카르복실산들, 옥타데실카르복실산들, 및 노나데실카르복실산들일 수 있다.
다른 구현에서, SAM 전구체들은 포스폰산 물질들, 예컨대, 메틸포스폰산, 에틸포스폰산, 프로필포스폰산, 부틸포스폰산, 펜틸포스폰산, 헥실포스폰산, 헵틸포스폰산, 옥틸포스폰산, 노닐포스폰산, 데실포스폰산, 운데실포스폰산, 도데실포스폰산, 트리데실포스폰산, 테트라데실포스폰산, 펜타데실포스폰산, 헥사데실포스폰산, 헵타데실포스폰산, 옥타데실포스폰산, 및 노나데실포스폰산일 수 있다.
다른 구현에서, SAM 전구체들은 티올 물질들, 예컨대, 메탄티올, 에탄티올, 프로판티올, 부탄티올, 펜탄티올, 헥산티올, 헵탄티올, 옥탄티올, 노난티올, 데칸티올, 운데칸티올, 도데칸티올, 트리데칸티올, 테트라데칸티올, 펜타데칸티올, 헥사데칸티올, 헵타데칸티올, 옥타데칸티올, 및 노나데칸티올일 수 있다.
다른 구현에서, SAM 전구체들은 실릴아민 물질들, 예컨대, 비스(디에틸아미노)실란("BDEAS"), 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)에틸실란, 트리스(디메틸아미노)프로필실란, 트리스(디메틸아미노)부틸실란, 트리스(디메틸아미노)펜틸실란, 트리스(디메틸아미노)헥실실란, 트리스(디메틸아미노)헵틸실란, 트리스(디메틸아미노)옥틸실란, 트리스(디메틸아미노)노닐실란, 트리스(디메틸아미노)데실실란, 트리스(디메틸아미노)운데실실란, 트리스(디메틸아미노)도데실실란, 트리스(디메틸아미노)트리데실실란, 트리스(디메틸아미노)테트라데실실란, 트리스(디메틸아미노)펜타데실실란, 트리스(디메틸아미노)헥사데실실란, 트리스(디메틸아미노)헵타데실실란, 트리스(디메틸아미노)옥타데실실란, 및 트리스(디메틸아미노)노나데실실란일 수 있다.
다른 구현에서, SAM 전구체들은 클로로실란 물질들, 예컨대, 헥사클로로디실란("HCDS"), 메틸트리클로로실란, 에틸트리클로로실란, 프로필트리클로로실란, 부틸트리클로로실란, 펜틸트리클로로실란, 헥실트리클로로실란, 헵틸트리클로로실란, 옥틸트리클로로실란, 노닐트리클로로실란, 데실트리클로로실란, 운데실트리클로로실란, 도데실트리클로로실란, 트리데실트리클로로실란, 테트라데실트리클로로실란, 펜타데실트리클로로실란, 헥사데실트리클로로실란, 헵타데실트리클로로실란, 옥타데실트리클로로실란("OTS"), 및 노나데실트리클로로실란일 수 있다.
다른 구현에서, SAM 전구체들은 옥시실란 물질들, 예컨대, 메틸트리메톡시실란, 메틸트리에톡시실란, 에틸트리메톡시실란, 에틸트리에톡시실란, 프로필트리메톡시실란, 프로필트리에톡시실란, 부틸트리메톡시실란, 부틸트리에톡시실란, 펜틸트리메톡시실란, 펜틸트리에톡시실란, 헥실트리메톡시실란, 헥실트리에톡시실란, 헵틸트리메톡시실란, 헵틸트리에톡시실란, 옥틸트리메톡시실란, 옥틸트리에톡시실란, 노닐트리메톡시실란, 노닐트리에톡시실란, 데실트리메톡시실란, 데실트리에톡시실란, 운데실트리메톡시실란, 운데실트리에톡시실란, 도데실트리메톡시실란, 도데실트리에톡시실란, 트리데실트리메톡시실란, 트리데실트리에톡시실란, 테트라데실트리메톡시실란, 테트라데실트리에톡시실란, 펜타데실트리메톡시실란, 펜타데실트리에톡시실란, 헥사데실트리메톡시실란, 헥사데실트리에톡시실란, 헵타데실트리메톡시실란, 헵타데실트리에톡시실란, 옥타데실트리메톡시실란 옥타데실트리에톡시실란, 노나데실트리메톡시실란, 및 노나데실트리에톡시실란일 수 있다.
다른 구현에서, SAM 전구체들은 아미노 옥시실란 물질들, 예컨대, (3-아미노프로필)트리에톡시실란("APTES")일 수 있다.
다른 구현에서, SAM 전구체들은, 특히, 플루오린화 R 기, 예컨대, (1,1,2,2-퍼플루오로데실)트리클로로실란, 트리클로로(1,1,2,2-퍼플루오로옥틸)실란, (트리데카-플루오로-1,1,2,2-테트라히드로옥틸)트리클로로실란, (트리데카플루오로-1,1,2,2-테트라히드로-옥틸)트리에톡시실란, (트리데카플루오로-1,1,2,2-테트라히드로옥틸)메틸디클로로실란, (트리데카플루오로-1,1,2,2-테트라히드로옥틸)디메틸클로로실란, 및 (헵타데카플루오로-1,1,2,2-테트라히드로데실)트리클로로실란을 가질 수 있다. 위에서 언급된 물질들의 조합들 및 혼합물들이 본 개시내용의 범위 내에 있는 것으로 고려된다.
다른 구현에서, SAM 전구체들은, 비스(디에틸아미노)실란("BDEAS"), 헥사클로로디실란(HCDS), (3-아미노프로필)트리에톡시실란("APTES"), 및 옥타데실트리클로로실란("OTS")을 포함하는 군으로부터 선택된다.
SAM 흡착은, SAM 분자들을 함유하는 희석 용액 내에 기판(500)을 침지시킴으로써 용액으로부터 발생할 수 있다. 일 구현에서, SAM(535)은 용액으로부터 스핀 코팅을 통해 증착된다. SAM 흡착은 또한, 가스성 전구체에 기판(500)을 노출시킴으로써 기상 증착으로부터 발생할 수 있다. SAM(535)의 두께는 SAM 분자의 알킬 쇄의 탄소 쇄 길이를 조정함으로써 조정될 수 있다. 일반적으로, SAM(535)은 SAM 분자들과의 화학 반응 능력을 갖는 표면 상에 형성될 수 있다.
일 구현에서, SAM 흡착은 기상 증착 프로세스일 수 있다. 이러한 구현에서, SAM 분자들은 섭씨 약 25 도 내지 섭씨 약 300 도, 예컨대, 섭씨 약 125 도 내지 섭씨 약 200 도의 온도로 유지되는 앰플에서 기화될 수 있다. 기판(500)은 섭씨 약 25 도 내지 섭씨 약 400 도, 예컨대, 섭씨 약 50 도 내지 섭씨 약 200 도, 예를 들어, 섭씨 약 100 도 내지 섭씨 약 175 도의 온도로 유지될 수 있다. 기판 처리 환경, 예컨대, 처리 챔버의 처리 체적의 압력은 약 1 mT 내지 약 1520 T, 예컨대, 약 5 T 내지 약 600 T의 압력으로 유지될 수 있다. 캐리어 가스는 기상 SAM 분자들의 전달을 용이하게 하는 데에 활용될 수 있고, 캐리어 가스는, 처리 챔버의 체적에 따라, 약 25 sccm 내지 약 3000 sccm, 예컨대, 약 50 sccm 내지 약 1000 sccm의 유량으로 전달될 수 있다. 적합한 캐리어 가스들은, 기판 표면들로의 SAM 분자들의 전달을 용이하게 하는 SAM 흡착 조건들 하에서 일반적으로 불활성인 가스들, 예컨대, 희가스들 등을 포함한다. SAM 분자들은, 작동(440)에서, 약 1 초 내지 약 48 시간, 예를 들어, 약 1 분 내지 약 120 분의 시간의 양 동안 기판(500)에 노출될 수 있다.
일부 구현들에서, SAM 전구체는 HWCVD 프로세스를 사용하여 활성화될 수 있다.
작동(450)에서, 도 5d에 도시된 바와 같이, 비정질 규소 층(540)이 기판(500)의 노출된 표면들 및 패터닝된 피쳐들(521) 상에 등각으로 또는 실질적으로 등각으로 증착된다. 비정질 규소 층(540)은 본원에 설명되는 구현들에 따라 형성된다. 비정질 규소 층(540)의 두께는 약 5 Å 내지 약 200 Å(예를 들어, 약 10 Å 내지 약 100 Å)일 수 있다.
일부 구현들에서, 등각 비정질 규소(a-Si) 증착은, 기판 온도를 최소화하면서 규소 전구체의 분압을 최대화함으로써 달성될 수 있다.
비정질 규소 층(540)은 규소 함유 가스 혼합물을 처리 체적(126) 내로 유동시킴으로써 형성될 수 있다. 규소 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 처리 체적(126) 내로 유동될 수 있다. 규소 함유 가스 혼합물은 적어도 하나의 규소 함유 공급원 가스 및 선택적으로 불활성 가스 및/또는 희석 가스를 포함할 수 있다. 일 구현에서, 규소 함유 공급원 가스 혼합물은 실란 함유 공급원 가스 혼합물이다. 일 구현에서, 규소 함유 공급원 가스는 실란이다. 적합한 규소 전구체들의 예들은, 실란들, 예컨대, 실란(SiH4) 및 실험식(SiaH(2a+2), 여기서 a=1, 2, 3, 4, 5, 6,..n)을 갖는 더 고차의 실란들, 예컨대, 디실란(Si2H6), 트리실란(Si3H8) 및 테트라실란(Si4H10), 네오펜타실란(Si5H12), 헥사실란(Si6H14)뿐만 아니라 다른 것들도 포함한다. 적합한 실란들의 다른 예들은 시클릭 실란들, 예컨대, 시클로펜타실란(Si5H10), 시클로헥사실란(Si6H12)뿐만 아니라 다른 것들도 포함한다. 예를 들어, 적당한 처리 온도 및 높은 증기압을 갖는 디실란은 단독으로 또는 다른 종들과 조합하여 규소 전구체로서 사용될 수 있다. 다른 적합한 규소 전구체들은 할로겐화 실란들을 포함한다. 예를 들어, 할로겐화 실란들은 사플루오린화규소(SiF4), 사염화규소(SiCl4), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6) 등을 포함한다.
일부 구현들에서, 규소 함유 가스 혼합물은 불활성 가스 또는 희석 가스를 더 포함한다. 적합한 희석 가스들은, 특히, 헬륨(He), 아르곤(Ar), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들을 포함한다. 일부 구현들에서, Ar, He, 및 N2는 붕소 도핑된 비정질 규소 층의 밀도 및 증착 속도를 제어하는 데에 사용된다. 일부 구현들에서, N2 및/또는 NH3의 추가는 비정질 규소 층의 수소 비율을 제어하는 데에 사용될 수 있다. 대안적으로, 희석 가스들은 증착 동안 사용되지 않을 수 있다.
적합한 불활성 가스들, 예컨대, 아르곤(Ar) 및/또는 헬륨(He)이 규소 함유 가스 혼합물과 함께 프로세스 챔버(100) 내에 공급될 수 있다. 다른 불활성 가스들, 예컨대, 질소(N2) 및 산화질소(NO)가 또한, 비정질 규소 층의 밀도 및 증착 속도를 제어하는 데에 사용될 수 있다. 추가적으로, 다양한 다른 처리 가스들이, 비정질 규소 층의 속성들을 변형하기 위해 가스 혼합물에 추가될 수 있다. 일 구현에서, 처리 가스들은 반응성 가스들, 예컨대, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 추가는 증착된 비정질 규소 층의 수소 비율(예를 들어, 규소 대 수소 비율)을 제어하는 데에 사용될 수 있다. 이론에 얽매이지는 않지만, 비정질 규소 막에 존재하는 수소 비율이 층 속성들, 예컨대, 반사율을 통제하는 것으로 여겨진다.
일부 구현들에서, 비정질 규소 층(540)은 도펀트를 포함한다. 일부 구현들에서, 도펀트는 붕소 또는 인이다. 일부 구현들에서, 붕소 함유 가스 혼합물 또는인 함유 가스 혼합물이 처리 체적(126) 내로 유동된다. 붕소 함유 가스 혼합물 또는 인 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 처리 체적(126) 내로 유동될 수 있다. 일 구현에서, 붕소 함유 가스 혼합물은 보란 함유 가스 혼합물이다. 일 구현에서, 붕소 함유 가스 혼합물은 붕소 함유 화합물 및 선택적으로 희석 가스 및/또는 불활성 가스를 포함한다. 적합한 붕소 함유 화합물들의 예들은, 디보란(B2H6), 디메틸아민 보란(DMAB 또는 [NH(CH3)2BH3]), 트리메틸보란(TMB 또는 B(CH3)3), 트리에틸보란(TEB), 이들의 조합들 및 유사한 화합물들을 포함한다. 일 구현에서, 붕소 함유 가스 혼합물은 디보란을 포함한다. 일부 구현들에서, 인 함유 가스 혼합물은 포스핀 함유 가스 혼합물이다.
일부 구현들에서, 규소 함유 가스 혼합물은 실질적으로 오직 디실란만을 포함한다. 본원에 사용된 바와 같이, "실질적으로 오직 디실란만"이라는 문구는 활성 종들의 적어도 95%가 디실란인 것을 의미한다. 다른 가스들, 예컨대, 캐리어 가스들 및 불활성 가스들이 이 양에 포함될 수 있다.
증착된 막은, 막으로부터 방출되거나 탈기할 수 있는 가스방출가능 종들, 예를 들어, 수소를 포함한다. 불활성 탈기 환경은 가스성 종들이 방출될 기회를 제공하여, 최종 막의 기포발생을 최소화한다. 불활성 탈기 환경은, 막의 가스방출가능 종들의 제거를 허용하거나 장려하는 임의의 조건을 포함할 수 있다. 예를 들어, 탈기 환경은 불활성 가스로 본질적으로 구성될 수 있다. 이와 관련하여 사용되는 바와 같이, "본질적으로 구성"은 증착된 막의 가스방출을 방해하는 가스성 종들이 없음을 의미한다. 다른 반응성 종들이 막의 가스방출을 억제하지 않고 존재할 수 있으면서 여전히 불활성 가스로 본질적으로 구성된다. 일부 구현들에서, 불활성 탈기 환경은 증착된 막 상에 발생하는 화학 반응들이 실질적으로 없는 환경이다. 예를 들어, 증착된 막과 반응성일 수 있는 화학 종들이 실질적으로 없을 수 있다. 일부 구현들에서, 탈기 환경은 가스방출가능 종들의 탈기를 야기하기 위해 UV 광, 플라즈마 또는 마이크로파 방사선을 사용하지 않는다.
하나 이상의 구현에서, 비정질 규소 막은 화학 기상 증착 프로세스에 의해 증착된다. 유사한 처리 챔버들이 사용될 수 있지만, 화학 기상 증착(CVD) 프로세스들은 원자 층 증착(ALD)과 상이하다. ALD 프로세스는, 이원(또는 더 고차의) 반응을 사용하여 물질의 단일 층이 증착되는 자기 제한적 프로세스이다. 프로세스는 기판 표면 상의 이용가능한 활성 부위들 모두가 반응될 때까지 계속된다. CVD 프로세스는 자기 제한적이지 않고, 막은 임의의 미리 결정된 두께까지 성장될 수 있다.
적합한 불활성 가스들은 아르곤, 헬륨, 질소, 및/또는 이들의 혼합물들 중 하나 이상을 포함하지만 이에 제한되지는 않는다. 일부 실시예들에서, 불활성 탈기 환경은 실질적으로 산소를 포함하지 않는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 산소를 포함하지 않는"은, 불활성 탈기 환경이, 기판 표면에 인접한 주위 조건들에 (원자 기준으로) 약 1% 미만의 산소 원자들을 갖는 것을 의미한다.
하나 이상의 구현에서, 가스방출가능 종들은 수소를 포함한다. 이와 관련하여 사용되는 바와 같이, 수소를 포함하는 가스방출가능 종들은 수소, SiH2, SiH3, SiH4, 및/또는 다른 저차의 실란들 중 하나 이상을 포함할 수 있다.
처리 챔버에서의 압력, 또는 처리 챔버의 영역은 전구체 노출 및 탈기 환경에 대해 독립적으로 제어될 수 있다. 일부 구현들에서, 규소 전구체 및 탈기 환경 각각에 대한 노출은, 약 50 mTorr 내지 약 200 Torr 범위의 압력에서 일어난다. 일부 구현들에서, 규소 전구체는 약 500 mTorr 이상, 또는 약 1 Torr 이상, 또는 약 5 Torr 이상, 또는 약 10 Torr 이상, 또는 약 20 Torr 이상, 또는 약 30 Torr 이상의 압력에서 기판에 노출된다.
기판 표면이 전구체 또는 탈기 환경에 노출되는 온도는, 예를 들어, 전구체 및 형성되고 있는 디바이스의 열 예산에 따라 변화될 수 있다. 일부 구현들에서, 전구체 및 탈기 환경 각각에 대한 노출은, 섭씨 약 350 도 내지 섭씨 약 700 도 범위의 온도에서 일어난다. 하나 이상의 구현에서, 할로겐화규소 전구체는 섭씨 약 375 도 내지 섭씨 약 600 도 범위의, 또는 섭씨 약 400 도 내지 섭씨 약 550 도 범위의 온도에서 기판에 노출된다.
일부 구현들에서, 디실란 기반의 등각 a-Si 프로세스들은 섭씨 약 450 도 미만의 기판 온도로 증착되고, 디실란 분압은 약 20 Torr 이상이다. 예시적인 구현에서, 기판은 약 20 Torr 이상의 압력에서 섭씨 약 400 도 내지 섭씨 약 550 도 범위의 온도에서 규소 전구체에 노출된다.
작동(460)에서, 패터닝된 피쳐들(521) 상에 비정질 규소 층(540)이 등각으로 증착된 후, 비정질 규소 층(540)은, 영역들(511)에 있는, 기판(500)의 상부 표면을 노출시키고 패터닝된 피쳐들(521)의 상부 표면을 노출시키기 위해 비등방성으로 식각(수직 식각)되어, 도 5e에 도시된 바와 같이, 비정질 규소 기재의 측벽 스페이서들(541)에 의해 보호되는 (희생 구조 층(520)으로부터 형성된) 패터닝된 피쳐들(521)을 초래한다.
작동(470)에서, 패터닝된 피쳐들(521)(희생 구조 층(520)으로부터 형성됨)이 종래의 플라즈마 식각 프로세스 또는 다른 적합한 습식 스트리핑 프로세스를 사용하여 제거되어, 도 5f에 도시된 바와 같이, 비-희생 비정질 규소 기재의 측벽 스페이서들(541)을 남긴다. 플라즈마 식각 프로세스는, 플루오린 기재의 식각 화학물질을 기판 위의 플라즈마 내에 도입함으로써 이루어질 수 있다. 개선된 물질 품질 및 적용범위로 인해, 비정질 규소 기재의 측벽 스페이서들(541)은 손상되지 않는데, 이는 이들이 플루오린 기재의 반응성 식각 화학물질 또는 습식 스트립 기반의 화학물질에 대해 매우 우수한 선택성을 갖기 때문이다. 패터닝된 피쳐들(521)의 제거 시에, 나머지 비정질 규소 기재의 측벽 스페이서들(541)은 아래놓인 층, 층 스택 또는 구조를 식각하기 위한 하드마스크로서 사용될 수 있다. 특히, 이러한 패터닝 프로세스에 따른 비정질 규소 기재의 측벽 스페이서들(541)의 밀도는 패터닝된 피쳐들(521)의 밀도의 두 배이고, 비정질 규소 기재의 측벽 스페이서들(541)의 피치는 패터닝된 피쳐들(521)의 피치의 절반이다. 비정질 규소 기재의 측벽 스페이서들(541)은 아래놓인 물질 층들을 패터닝하기 위한 하드마스크로서 사용될 수 있다.
도 6은 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법(600)의 다른 구현을 도시하는 프로세스 흐름도이다. 방법(600)은, 방법(600)이 방법(400)의 플라즈마 처리 프로세스 및 방법(400)의 SAM 형성 둘 모두를 통합하는 것을 제외하고, 방법(200) 및 방법(400)과 유사하다. 작동(610)에서, 작동(210) 및 작동(410)과 유사하게, 희생 구조 층이 기판 상에 형성된다. 작동(620)에서, 작동(220) 및 작동(420)과 유사하게, 레지스트 층이 희생 구조 층 상에 형성된다. 작동(630)에서, 작동(230) 및 작동(430)과 유사하게, 패터닝된 피쳐들이 희생 구조 층으로부터 형성된다. 작동(640)에서, 작동(240)과 유사하게, 패터닝된 피쳐들이 플라즈마로 처리된다. 작동(650)에서, 작동(440)과 유사하게, 패터닝된 피쳐들 상에 SAM이 형성된다. 작동(660)에서, 작동(250) 및 작동(450)과 유사하게, 비정질 규소 층이 기판의 노출된 표면들 및 패터닝된 피쳐들 상에 형성된다. 작동(670)에서, 작동(260) 및 작동(460)과 유사하게, 비정질 규소 층이, 방향성 식각 프로세스를 사용하여 식각된다. 작동(680)에서, 비-희생 비정질 규소 측벽 스페이서들을 제공하기 위해, 패터닝된 피쳐들이 비정질 규소 측벽 스페이서들 내에 위치되었다.
도 7은 본원에 설명되는 구현들에 따른, 스페이서 형성을 위한 방법(700)의 다른 구현을 도시하는 프로세스 흐름도이다. 방법(700)은, 방법(700)이 작동(740)에서 화학 처리 프로세스를 포함하는 것을 제외하고, 방법(200) 및 방법(400)과 유사하다. 형성 프로세스는 플라즈마와 함께 또는 플라즈마 없이 일어날 수 있다. 증착은 열 CVD 프로세스, HWCVD 프로세스, 또는 PECVD 프로세스를 통해 일어날 수 있다. 예시 목적을 위해 자기 정렬 이중 패터닝 프로세스가 선택되는 것이 고려된다. 본 개시내용의 개념들은, 다양한 반도체 프로세스들, 예컨대, NAND 플래시 응용, DRAM 응용, 또는 CMOS 응용 등에서 필요에 따라 가변 라인 폭 및 간격 또는 보호 희생 층을 갖는 보호 스페이서들의 사용을 필요로 할 수 있는 다른 프로세스들, 예를 들어, 단일 또는 이중 패터닝 방식, 예컨대, 비아/홀 수축 프로세스, 자기 정렬 삼중 패터닝(SATP) 프로세스, 또는 자기 정렬 사중 패터닝(SAQP) 프로세스 등에 동등하게 적용가능하다. 추가적으로, 본원에서 설명되는 방법들은, 비정질 규소를 아래놓인 산화물 막 상에 증착시키는 것이 바람직한 임의의 프로세스에 사용될 수 있다.
작동(710)에서, 작동(210) 및 작동(410)과 유사하게, 희생 구조 층이 기판 상에 형성된다. 작동(720)에서, 작동(220) 및 작동(420)과 유사하게, 레지스트 층이 희생 구조 층 상에 형성된다. 작동(730)에서, 작동(230) 및 작동(430)과 유사하게, 패터닝된 피쳐들이 희생 구조 층으로부터 형성된다. 작동(740)에서, 기판의 노출된 표면들 및 패터닝된 피쳐들은 화학 처리 프로세스에 노출된다.
작동(740)에서, 기판은, 패터닝된 피쳐들의 표면 및 선택적으로 기판의 노출된 표면들을 변형하기 위해, 화학 처리 전구체에 노출된다. 이론에 얽매이지는 않지만, 화학 처리 프로세스는 패터닝된 피쳐들에 대한 비정질 규소 층의 접착을 개선하는 것으로 여겨진다. 예를 들어, 댕글링 Si-OH 결합들이 변형되어 Si-H, Si-NH2, 또는 SiCH3를 형성하는 것으로 여겨진다. 변형된 표면은 비정질 규소 층을 패터닝된 피쳐들에 고정시키는 것을 돕는 것으로 여겨진다. 사용되는 화학 처리 전구체들에 따라, 화학 처리 전구체는 용액 기반 전구체 또는 가스성 전구체일 수 있다. 화학 처리 전구체는 화학 처리 전구체, 화학 처리 전구체를 형성하는 전구체들, 또는 양쪽 모두를 포함할 수 있다.
일부 구현들에서, 활용되는 화학 처리 전구체는, 패터닝된 피쳐들의 노출된 표면(예를 들어, 산화규소 물질) 및 후속으로 증착된 비정질 규소 층의 노출된 표면과만 화학적으로 반응하도록 선택된다. 그렇게 함으로써, 패터닝된 피쳐들의 노출된 표면은 대부분 화학적으로 변형된다. 일부 구현들에서, 활용되는 화학 처리 전구체는, 패터닝된 피쳐들, 기판의 노출된 표면들, 및 후속으로 증착된 비정질 규소 층과 화학적으로 반응하도록 선택된다. 그렇게 함으로써, 기판의 노출된 표면들 및 패터닝된 피쳐들의 노출된 표면들은 대부분 화학적으로 변형된다.
예시적인 화학 처리 전구체들은 하기 화학식으로 이루어질 수 있다:
Figure pct00001
여기서, R1, R2, R3, 및 R4는 동일하거나 상이하고, 각각 서로 별개로 수소(H), 하나 이상의 NH2 기(예를 들어, 알킬아미노 기)로 선택적으로 치환될 수 있는 선형 또는 분지형 알킬 기들(예를 들어, 메틸, 에틸, 프로필, 부틸 등), 알콕시 기들(예를 들어, 메톡시, 에톡시, 프로폭시 등), 및 염소 함유 기들로부터 선택된다.
예시적인 화학 처리 전구체들은 하기 화학식으로 이루어질 수 있다:
Figure pct00002
여기서, R1, R2, 및 R3는 동일하거나 상이하고, 각각 서로 별개로 수소(H), 하나 이상의 NH2 기(예를 들어, 알킬아미노 기)로 선택적으로 치환될 수 있는 선형 또는 분지형 알킬 기들(예를 들어, 메틸, 에틸, 프로필, 부틸 등), 알콕시 기들(예를 들어, 메톡시, 에톡시, 프로폭시 등), 및 염소 함유 기들로부터 선택된다.
예시적인 화학 처리 전구체들은 하기 화학식으로 이루어질 수 있다:
Figure pct00003
여기서, R1, R2, 및 R3는 동일하거나 상이하고, 각각 서로 별개로 수소(H), 하나 이상의 NH2 기(예를 들어, 알킬아미노 기)로 선택적으로 치환될 수 있는 선형 또는 분지형 알킬 기들(예를 들어, 메틸, 에틸, 프로필, 부틸 등), 알콕시 기들(예를 들어, 메톡시, 에톡시, 프로폭시 등), 및 염소 함유 기들로부터 선택된다.
예시적인 화학 처리 전구체들은 하기 화학식으로 이루어질 수 있다:
Figure pct00004
여기서, R1, R2, 및 R3는 동일하거나 상이하고, 각각 서로 별개로 수소(H), 하나 이상의 NH2 기(예를 들어, 알킬아미노 기)로 선택적으로 치환될 수 있는 선형 또는 분지형 알킬 기들(예를 들어, 메틸, 에틸, 프로필, 부틸 등), 알콕시 기들(예를 들어, 메톡시, 에톡시, 프로폭시 등), 및 염소 함유 기들로부터 선택된다.
일 구현에서, 화학 처리 전구체들은, 비스(디에틸아미노)실란("BDEAS"), 헥사클로로디실란(HCDS), (3-아미노프로필)트리에톡시실란("APTES"), 및 옥타데실트리클로로실란("OTS")을 포함하는 군으로부터 선택된다.
화학 처리 전구체에 대한 노출은, 화학 처리 전구체를 함유하는 희석 용액 내에 기판을 침지시킴으로써 용액으로부터 발생할 수 있다. 일 구현에서, 화학 처리 전구체는 용액으로부터 스핀 코팅을 통해 증착된다. 화학 처리 전구체에 대한 노출은 또한, 가스성 화학 처리 전구체에 기판을 노출시킴으로써 기상 증착으로부터 발생할 수 있다. 일반적으로, 화학 처리는 화학 처리 전구체와의 화학 반응 능력을 갖는 표면 상에서 일어날 수 있다.
일 구현에서, 화학 처리 프로세스는 기상 증착 프로세스일 수 있다. 이 구현에서, 기판은 섭씨 약 25 도 내지 섭씨 약 400 도, 예컨대, 섭씨 약 50 도 내지 섭씨 약 200 도, 예를 들어, 섭씨 약 100 도 내지 섭씨 약 175 도의 온도로 유지될 수 있다. 기판 처리 환경, 예컨대, 처리 챔버의 처리 체적의 압력은 약 1 mT 내지 약 1520 T, 예컨대, 약 5 T 내지 약 600 T의 압력으로 유지될 수 있다. 캐리어 가스는 화학 처리 전구체 및 선택적인 캐리어 가스의 전달을 용이하게 하는 데에 활용될 수 있다. 화학 처리 전구체는, 처리 챔버의 체적에 따라, 약 25 sccm 내지 약 3000 sccm, 예컨대, 약 50 sccm 내지 약 1000 sccm의 유량으로 전달될 수 있다. 적합한 캐리어 가스들은, 화학 처리 전구체 조건들 하에서 일반적으로 불활성인 가스들, 예컨대, 희가스들 등을 포함한다. 화학 처리 전구체들은, 작동(740)에서, 약 1 초 내지 약 48 시간, 예를 들어, 약 1 분 내지 약 120 분의 시간의 양 동안 기판에 노출될 수 있다.
일부 구현들에서, 화학 처리 전구체는 HWCVD 프로세스를 사용하여 활성화될 수 있다.
작동(750)에서, 작동(250) 및 작동(450)과 유사하게, 비정질 규소 층이 기판의 노출된 표면들 및 패터닝된 피쳐들 상에 형성된다. 작동(760)에서, 작동(260) 및 작동(460)과 유사하게, 비정질 규소 층이, 방향성 식각 프로세스를 사용하여 식각된다. 작동(770)에서, 비-희생 비정질 규소 측벽 스페이서들을 제공하기 위해, 패터닝된 피쳐들이 비정질 규소 측벽 스페이서들 내에 위치되었다.
예들:
이하의 비제한적인 예들은 본원에 설명된 구현들을 더 예시하기 위해 제공된다. 그러나, 예들은 모든 것을 포함하도록 의도된 것이 아니며, 본원에 설명된 구현들의 범위를 제한하도록 의도된 것이 아니다.
도 8a는 어떠한 처리도 없이 산화물 기판 상에 증착된 30 Å의 비정질 규소의 주사 전자 현미경(SEM) 이미지(800)를 예시한다. 도 8b는 본 개시내용의 하나 이상의 구현에 따른, NH3 플라즈마로 산화물 표면을 처리한 후에 산화물 기판 상에 증착된 30 Å의 비정질 규소의 SEM 이미지(810)를 예시한다. 산화물 기판 상에의 30 Å의 비정질 규소의 증착 전에 산화물 기판을 NH3 플라즈마 처리에 노출시켰다.
30 Å의 증착된 a-Si의 연속성을 핀홀 시험 방법을 사용하여 조사하였다. 그 다음, 전체 막 스택을 100:1 DHF 용액 내에 침지시켰다. DHF에서 a-Si는 식각되지 않지만 산화물은 식각된다. a-Si가 불연속적이면, H 및 F 이온들은 불연속적인 a-Si 층을 관통해 산화물 층 내로 침투하고, 아래놓인 산화물 층이 식각된다. 도 8a에 도시된 바와 같이, SEM "핀홀들"이, 어떠한 처리도 없이 산화물 기판에 증착된 a-Si 막에 존재하는 것은, 최상부 a-Si 막이 연속적이지 않았다는 것을 나타냈다. 반면에, 도 8b에 도시된 바와 같이, SEM "핀홀들"이 없는 a-Si 막은 a-Si 막이 연속적이고 아래놓인 산화물이 식각되는 것을 방지할 것이라는 점을 나타낸다.
요약하면, 본 개시내용의 일부 구현들은 비정질 규소 스페이서 형성을 위한 개선된 방법들을 제공한다. 본원에 설명되는 개선된 방법들 중 일부는 높은 등각성, 낮은 패턴 로딩 및 BEOL 양립가능한 처리 온도들을 제공한다. 본원에 설명되는 개선된 방법들 중 일부는, 아래놓인 산화물 막의 감소된 거칠기 및 후속으로 증착된 비정질 규소 막과의 개선된 접착을 더 제공한다. 일부 구현들에서, 아래놓인 산화물 막은 플라즈마 처리에 노출되고, 이는 후속으로 증착된 비정질 규소 막의 거칠기를 감소시킬 뿐만 아니라 아래놓인 산화물 막과 비정질 규소 막 사이의 접착을 개선한다. 일부 구현들에서, 후속으로 증착된 비정질 규소 층과의 접착을 개선하기 위해, 아래놓인 산화물 층 상에 SAM이 형성된다.
본 개시내용 또는 그의 예시적인 양상들 또는 구현(들)의 요소들을 도입할 때, 단수 형태 및 "상기"는 요소들 중 하나 이상이 존재한다는 것을 의미하도록 의도된다.
"포함" 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 나열된 요소들 이외의 추가적인 요소들이 존재할 수 있다는 것을 의미한다.
전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (15)

  1. 비정질 규소 층을 형성하는 방법으로서,
    희생 유전체 층의 미리 결정된 두께를 처리 체적에 위치된 기판 위에 증착시키는 단계;
    상기 기판의 상부 표면을 노출시키기 위해 상기 희생 유전체 층의 부분들을 제거함으로써 상기 기판 상에 패터닝된 피쳐들을 형성하는 단계;
    상기 패터닝된 피쳐들에 대해 플라즈마 처리를 수행하는 단계;
    상기 기판의 노출된 상부 표면 및 상기 패터닝된 피쳐들 상에 비정질 규소 층을 증착시키는 단계; 및
    상기 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 상기 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 상기 비정질 규소 층을 상기 기판의 상부 표면 및 상기 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 포함하는, 비정질 규소 층을 형성하는 방법.
  2. 제1항에 있어서,
    상기 플라즈마 처리를 수행하는 단계는:
    처리 가스를 상기 처리 체적 내로 유동시키는 것; 및
    상기 기판의 상기 패터닝된 피쳐들을 처리하기 위해 상기 처리 체적에 플라즈마를 생성하는 것을 포함하는, 비정질 규소 층을 형성하는 방법.
  3. 제2항에 있어서,
    상기 처리 가스들은 아르곤을 포함하는, 비정질 규소 층을 형성하는 방법.
  4. 제2항에 있어서,
    상기 처리 가스는 아르곤, 수소, 질소, 아산화질소, 암모니아 및 이들의 조합들 중 하나를 포함하는, 비정질 규소 층을 형성하는 방법.
  5. 제1항에 있어서,
    상기 플라즈마 처리를 상기 패터닝된 피쳐들에 대해 수행하는 단계, 및 상기 비정질 규소 층을 상기 기판의 노출된 상부 표면 및 상기 패터닝된 피쳐들 상에 증착시키는 단계는 동일한 처리 챔버에서 수행되는, 비정질 규소 층을 형성하는 방법.
  6. 제1항에 있어서,
    상기 희생 유전체 층은 산화규소를 포함하는, 비정질 규소 층을 형성하는 방법.
  7. 제1항에 있어서,
    상기 비정질 규소 층을 상기 기판의 노출된 상부 표면 및 상기 패터닝된 피쳐들 상에 증착시키는 단계는, 수소를 포함하는 가스방출가능 종들을 갖는 비정질 규소 막을 형성하기 위해 상기 패터닝된 피쳐들을 규소 전구체에 노출시키는 것을 포함하는, 비정질 규소 층을 형성하는 방법.
  8. 제7항에 있어서,
    탈기된 비정질 규소 막을 형성하기 위해 상기 가스방출가능 종들을 상기 비정질 규소 막으로부터 제거하기 위해서 상기 비정질 규소 막을 불활성 탈기 환경에 노출시키는 것을 더 포함하는, 비정질 규소 층을 형성하는 방법.
  9. 제8항에 있어서,
    상기 불활성 탈기 환경은 불활성 가스로 본질적으로 구성되는, 비정질 규소 층을 형성하는 방법.
  10. 제8항에 있어서,
    상기 규소 전구체는 디실란, 트리실란, 테트라실란, 이소테트라실란, 네오펜타실란, 시클로펜타실란, 헥사실란, 시클로헥사실란, 및 이들의 조합들 중 하나 이상을 포함하는, 비정질 규소 층을 형성하는 방법.
  11. 제10항에 있어서,
    상기 규소 전구체는 디실란인, 비정질 규소 층을 형성하는 방법.
  12. 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법으로서,
    희생 유전체 층의 미리 결정된 두께를 처리 체적에 위치된 기판 위에 증착시키는 단계;
    상기 기판의 상부 표면을 노출시키기 위해 상기 희생 유전체 층의 부분들을 제거함으로써 상기 기판 상에 패터닝된 피쳐들을 형성하는 단계;
    상기 패터닝된 피쳐들 상에 SAM을 형성하는 단계;
    상기 기판의 노출된 상부 표면 및 상기 SAM 상에 비정질 규소 층을 증착시키는 단계; 및
    상기 비정질 규소 층으로부터 형성된 측벽 스페이서들 내에 채워지는 상기 패터닝된 피쳐들을 제공하기 위해 비등방성 식각 프로세스를 사용하여 상기 비정질 규소 층을 상기 기판의 상부 표면 및 상기 패터닝된 피쳐들의 상부 표면으로부터 선택적으로 제거하는 단계를 포함하는, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법.
  13. 제12항에 있어서,
    상기 SAM은, 비스(디에틸아미노)실란("BDEAS"), 헥사클로로디실란(HCDS), (3-아미노프로필)트리에톡시실란("APTES"), 및 옥타데실트리클로로실란("OTS")을 포함하는 군으로부터 선택된 SAM 전구체들로 형성되는, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법.
  14. 제12항에 있어서,
    상기 비정질 규소 층을 상기 기판의 노출된 상부 표면 및 상기 패터닝된 피쳐들 및 상기 SAM 상에 증착시키는 단계는, 수소를 포함하는 가스방출가능 종들을 갖는 비정질 규소 막을 형성하기 위해 상기 패터닝된 피쳐들을 규소 전구체에 노출시키는 것을 포함하는, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법.
  15. 제14항에 있어서,
    탈기된 비정질 규소 막을 형성하기 위해 상기 가스방출가능 종들을 상기 비정질 규소 막으로부터 제거하기 위해서 상기 비정질 규소 막을 불활성 탈기 환경에 노출시키는 것을 더 포함하는, 처리 챔버에서 기판 상에 비정질 규소 층을 형성하는 방법.
KR1020207005305A 2017-07-24 2018-05-24 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법 KR102509390B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762536275P 2017-07-24 2017-07-24
US62/536,275 2017-07-24
PCT/US2018/034439 WO2019022826A1 (en) 2017-07-24 2018-05-24 PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE

Publications (2)

Publication Number Publication Date
KR20200023509A true KR20200023509A (ko) 2020-03-04
KR102509390B1 KR102509390B1 (ko) 2023-03-14

Family

ID=65023417

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005305A KR102509390B1 (ko) 2017-07-24 2018-05-24 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법

Country Status (5)

Country Link
US (1) US10559465B2 (ko)
JP (1) JP7242631B2 (ko)
KR (1) KR102509390B1 (ko)
CN (2) CN110709967B (ko)
WO (1) WO2019022826A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
CN112645277B (zh) * 2020-12-11 2023-11-07 上海集成电路研发中心有限公司 一种新型红外探测器及制备方法
WO2023028461A1 (en) * 2021-08-24 2023-03-02 Lam Research Corporation In-situ core protection in multi-patterning
WO2024054413A1 (en) * 2022-09-06 2024-03-14 Lam Research Corporation Doped silicon or boron layer formation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031058A (ja) * 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
KR20040054433A (ko) * 2002-12-18 2004-06-25 엘지.필립스 엘시디 주식회사 구동회로 일체형 액정표시장의 스위칭 소자 또는구동소자의 제조방법
US20050000408A1 (en) * 2003-07-04 2005-01-06 Toppoly Optoelectronics Corp. Process for forming polycrystalline silicon layer by laser crystallization
KR20080031747A (ko) * 2005-07-13 2008-04-10 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 두꺼운 절연층의 거칠기도 감소 방법
KR20130062924A (ko) * 2010-03-30 2013-06-13 어플라이드 머티어리얼스, 인코포레이티드 질소 도핑된 비정질 탄소 하드마스크
KR20140069027A (ko) * 2011-08-26 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 이중 패터닝 식각 프로세스
KR20140115353A (ko) * 2012-01-19 2014-09-30 어플라이드 머티어리얼스, 인코포레이티드 스페이서 및 스페이서 보호 적용예들을 위한 등각적인 비정질 탄소
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US20170114453A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH05160394A (ja) * 1991-10-11 1993-06-25 Sony Corp Mis型半導体装置及びその製造方法
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6294219B1 (en) * 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP2002158173A (ja) * 2000-09-05 2002-05-31 Sony Corp 薄膜の製造方法、半導体薄膜、半導体装置、半導体薄膜の製造方法、及び半導体薄膜製造装置
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040067631A1 (en) * 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP5084169B2 (ja) * 2005-04-28 2012-11-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7485572B2 (en) 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7622386B2 (en) 2006-12-06 2009-11-24 International Business Machines Corporation Method for improved formation of nickel silicide contacts in semiconductor devices
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
JP2008141204A (ja) * 2007-11-30 2008-06-19 Renesas Technology Corp 半導体集積回路装置の製造方法
KR101499232B1 (ko) * 2008-04-10 2015-03-06 삼성디스플레이 주식회사 규소 결정화용 마스크 및 이를 이용한 다결정 규소 박막형성 방법과 박막 트랜지스터의 제조 방법
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
JP5160394B2 (ja) 2008-12-16 2013-03-13 株式会社テイエルブイ フロート式ドレントラップ
US8525139B2 (en) 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120202315A1 (en) 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
KR102132427B1 (ko) 2012-09-07 2020-07-09 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9171754B2 (en) 2013-05-24 2015-10-27 Globalfoundries Inc. Method including an etching of a portion of an interlayer dielectric in a semiconductor structure, a degas process and a preclean process
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
JP2016047777A (ja) * 2014-08-27 2016-04-07 国立大学法人大阪大学 グラフェン薄膜の製造方法、並びにグラフェン薄膜を備えた電子素子およびセンサ
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
KR20170016107A (ko) 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410872B2 (en) * 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10745282B2 (en) * 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031058A (ja) * 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
KR20040054433A (ko) * 2002-12-18 2004-06-25 엘지.필립스 엘시디 주식회사 구동회로 일체형 액정표시장의 스위칭 소자 또는구동소자의 제조방법
US20050000408A1 (en) * 2003-07-04 2005-01-06 Toppoly Optoelectronics Corp. Process for forming polycrystalline silicon layer by laser crystallization
KR20080031747A (ko) * 2005-07-13 2008-04-10 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 두꺼운 절연층의 거칠기도 감소 방법
KR20130062924A (ko) * 2010-03-30 2013-06-13 어플라이드 머티어리얼스, 인코포레이티드 질소 도핑된 비정질 탄소 하드마스크
KR20140069027A (ko) * 2011-08-26 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 이중 패터닝 식각 프로세스
KR20140115353A (ko) * 2012-01-19 2014-09-30 어플라이드 머티어리얼스, 인코포레이티드 스페이서 및 스페이서 보호 적용예들을 위한 등각적인 비정질 탄소
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US20170114453A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films

Also Published As

Publication number Publication date
CN110709967B (zh) 2023-09-01
JP7242631B2 (ja) 2023-03-20
US10559465B2 (en) 2020-02-11
WO2019022826A1 (en) 2019-01-31
CN110709967A (zh) 2020-01-17
JP2020528670A (ja) 2020-09-24
KR102509390B1 (ko) 2023-03-14
US20190027362A1 (en) 2019-01-24
CN117293018A (zh) 2023-12-26

Similar Documents

Publication Publication Date Title
KR102509390B1 (ko) 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
EP3424070B1 (en) Improved self-assembled monolayer blocking with intermittent air-water exposure
KR102492223B1 (ko) 실리콘 산화물의 핵형성/접착을 개선함으로써 막 조도를 개선하기 위한 처리 접근법
TWI794133B (zh) 形成氮碳氧化矽薄膜的方法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US20140302689A1 (en) Methods and apparatus for dielectric deposition
CN105401131A (zh) 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
WO2004070817A2 (en) Method of eliminating residual carbon from flowable oxide fill material
KR20000068308A (ko) 반도체기판상에평탄한유전체층을침전시키는장치및방법
WO2013049223A2 (en) Insensitive dry removal process for semiconductor integration
TW201943880A (zh) 在基板上形成層以應用於選擇性沉積製程之基板處理方法
CN113195786A (zh) 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
TWI827709B (zh) 用於沉積摻雜磷之氮化矽膜的方法
CN117751425A (zh) 用于沉积sib膜的工艺
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US11087973B2 (en) Method of selective deposition for BEOL dielectric etch
TW202411455A (zh) 用於先進記憶體應用中之成形堆疊縮放解決方案的高模數碳摻雜矽氧化物膜
WO2023225132A1 (en) High modulus carbon doped silicon oxide film for mold stack scaling solutions in advanced memory applications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant