KR20190117984A - 반도체 소자 및 그 제조방법 - Google Patents

반도체 소자 및 그 제조방법 Download PDF

Info

Publication number
KR20190117984A
KR20190117984A KR1020180041021A KR20180041021A KR20190117984A KR 20190117984 A KR20190117984 A KR 20190117984A KR 1020180041021 A KR1020180041021 A KR 1020180041021A KR 20180041021 A KR20180041021 A KR 20180041021A KR 20190117984 A KR20190117984 A KR 20190117984A
Authority
KR
South Korea
Prior art keywords
layer
mask layer
mask
opening
spacer
Prior art date
Application number
KR1020180041021A
Other languages
English (en)
Other versions
KR102617139B1 (ko
Inventor
신종찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180041021A priority Critical patent/KR102617139B1/ko
Priority to US16/185,137 priority patent/US10468252B2/en
Priority to SG10201901761V priority patent/SG10201901761VA/en
Priority to EP19160999.9A priority patent/EP3553810B1/en
Priority to CN201910193558.9A priority patent/CN110364432B/zh
Priority to JP2019058272A priority patent/JP7410648B2/ja
Publication of KR20190117984A publication Critical patent/KR20190117984A/ko
Application granted granted Critical
Publication of KR102617139B1 publication Critical patent/KR102617139B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명에 따른 반도체 소자의 제조방법은 기판 상에 타겟층 및 제1 마스크층을 순차적으로 형성하는 것; 상기 제1 마스크층을 패터닝하여, 제1 개구를 형성하는 것; 상기 제1 개구의 내측벽을 덮는 스페이서를 형성하는 것; 상기 제1 마스크층 상에 제2 개구를 갖는 제1 포토레지스트 패턴을 형성하는 것, 상기 제2 개구는 상기 스페이서의 적어도 일부와 수직적으로 중첩되고; 상기 스페이서를 마스크로 상기 제2 개구에 의해 노출된 상기 제1 마스크층을 패터닝하여, 상기 제1 개구와 인접하는 제3 개구를 형성하는 것; 및 잔류하는 상기 제1 마스크층 및 상기 스페이서를 식각 마스크로 상기 타겟층을 패터닝 하는 것을 포함한다.

Description

반도체 소자 및 그 제조방법 {SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF}
본 발명은 반도체 소자 및 이의 제조 방법에 관한 것으로, 더욱 상세하게는, 스페이서를 마스크로 활용하는 것을 포함하는 반도체 소자의 제조방법 및 이로 제조된 반도체 소자에 관한 것이다.
소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고 신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.
한편, 더블 패터닝(dousle patterning) 기술은 노광 장비의 교체 없이, 상기 노광 장비가 노광 가능한 최소 피치 미만의 간격을 갖는 패턴을 형성할 수 있는 방법이다. 예를 들어, 미세 패턴을 형성하기 위해, 포토리소그래피 공정을 통해 형성된 희생 패턴의 측벽에 스페이서를 형성하고, 상기 희생 패턴을 제거한 뒤, 상기 스페이서만을 마스크로 피식각층을 식각하는 더블 패터닝 기술이 사용될 수 있다. 그러나 반도체 소자가 고집적화됨에 따라 더블 패터닝 기술보다 더욱 미세한 패턴을 형성할 수 있는 기술이 요구되고 있다.
본 발명이 해결하고자 하는 과제는, 패턴의 폭을 최소화할 수 있는 반도체 소자의 제조방법 및 이로 제조된 반도체 소자를 제공하는 것이다.
본 발명은 기판 상에 타겟층 및 제1 마스크층을 순차적으로 형성하는 것; 상기 제1 마스크층을 패터닝하여, 제1 개구를 형성하는 것; 상기 제1 개구의 내측벽을 덮는 스페이서를 형성하는 것; 상기 제1 마스크층 상에 제2 개구를 갖는 제1 포토레지스트 패턴을 형성하는 것, 상기 제2 개구는 상기 스페이서의 적어도 일부와 수직적으로 중첩되고; 상기 스페이서를 마스크로 상기 제2 개구에 의해 노출된 상기 제1 마스크층을 패터닝하여, 상기 제1 개구와 인접하는 제3 개구를 형성하는 것; 및 잔류하는 상기 제1 마스크층 및 상기 스페이서를 식각 마스크로 상기 타겟층을 패터닝 하는 것을 포함하는 반도체 소자의 제조방법을 제공한다.
본 발명에 따른 반도체 소자의 제조방법은, 복수개의 포토마스크들을 이용해 기판 상에 고 집적도의 금속 배선들을 형성할 수 있다. 이때, 스페이서를 마스크로 활용함으로써, 패턴의 폭을 최소화할 수 있다.
도 1은 본 발명의 제1 실시예에 따른 반도체 소자의 금속층을 정의하는 레이아웃을 나타낸 평면도이다.
도 2a, 3a, 4a, 5a 및 6a는 본 발명의 제1 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 평면도들이다.
도 2b, 3b, 4b, 5b 및 6b는 각각 도 2a, 3a, 4a, 5a 및 6a의 A-A'선에 따른 단면도들이다.
도 7은 본 발명의 제2 실시예에 따른 반도체 소자의 금속층을 정의하는 레이아웃을 나타낸 평면도이다.
도 8a 내지 도 23a는 본 발명의 제2 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 평면도들이다.
도 8b 내지 도 23b는 각각 도 8a 내지 도 23a의 A-A'선에 따른 단면도들이다.
도 8c 내지 도 23c는 각각 도 8a 내지 도 23a의 B-B'선에 따른 단면도들이다.
도 20d는 도 20c의 A영역의 확대도이다.
도 1은 본 발명의 제1 실시예에 따른 반도체 소자의 금속층을 정의하는 레이아웃을 나타낸 평면도이다.
도 1을 참조하면, 레이아웃 패턴들(LP1,LP2)이 배치될 수 있다. 레이아웃 패턴들(LP1,LP2)은 제1 레이아웃 패턴들(LP1) 및 제2 레이아웃 패턴들(LP2)을 포함할 수 있다.
제1 레이아웃 패턴들(LP1)을 기초로 제1 포토마스크가 제작될 수 있고, 제2 레이아웃 패턴들(LP2)을 기초로 제2 포토마스크가 제작될 수 있다. 다시 말하면, 도 1에 나타난 레이아웃을 통하여, 총 2장의 포토마스크들이 각각 별개로 제작될 수 있다. 제1 및 제2 포토마스크들을 통해 기판 상에 패턴들이 각각 별도로 구현될 수 있다.
도 2a, 3a, 4a, 5a 및 6a는 본 발명의 제1 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 평면도들이고, 도 2b, 3b, 4b, 5b 및 6b는 각각 도 2a, 3a, 4a, 5a 및 6a의 A-A'선에 따른 단면도들이다.
도 2a 및 도 2b를 참조하면, 기판(100) 상에 타겟층(TG), 하드 마스크층(HM), 제1 마스크층(M1) 및 제2 마스크층(M2)을 순차적으로 형성할 수 있다. 상기 기판(100)은 반도체 기판일 수 있다. 일 예로, 상기 기판(100)은 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판 및 화합물 반도체 기판 중 하나일 수 있다. 일 예로, 하드 마스크층(HM)은 티타늄 질화물(TiN)을 포함할 수 있다. 일 예로, 타겟층(TG)은 실리콘 절연막일 수 있다. 일 예로, 제1 마스크층(M1)은 비정질 실리콘, SOH(Spin on hardmask), SOG(Spin on glass), 실리콘 질화물(SiN), 실리콘 산탄 질화물(SiOCN), 실리콘 탄질화물(SiCN) 및 주석 산화물(SnOx) 중 적어도 하나를 포함할 수 있다. 일 예로, 제2 마스크층(M2)은 실리콘 함유 반사 방지막(SiARC), SOH(Spin on hardmask) 및 비정질 탄소 중 적어도 하나를 포함할 수 있다.
제2 마스크층(M2) 상에 제1 개구들(OP1)을 갖는 제1 포토레지스트 패턴(PR1)이 형성될 수 있다. 구체적으로, 제1 포토레지스트 패턴(PR1)을 형성하는 것은, 제2 마스크층(M2) 상에 제1 포토레지스트 막을 형성하는 것, 및 도 1의 제1 포토 마스크를 이용해 상기 제1 포토레지스트 막에 제1 포토리소그래피 공정을 수행하는 것을 포함할 수 있다. 상기 제1 포토리소그래피 공정은 노광 및 현상 공정을 수행하는 것을 포함할 수 있다. 제1 개구들(OP1)은 도 1의 제1 레이아웃 패턴들(LP1)에 의해 구현된 것일 수 있다.
도 3a 및 도 3b를 참조하면, 제1 포토레지스트 패턴(PR1)을 마스크로 제1 패터닝 공정을 수행할 수 있다. 구체적으로, 제1 패터닝 공정을 수행하는 것은, 제1 포토레지스트 패턴(PR1)을 마스크로 제2 마스크층(M2)을 패터닝 하는 것, 패터닝된 제2 마스크층(M2)을 마스크로 제1 마스크층(M1)을 패터닝 하는 것을 포함할 수 있다. 제1 패터닝 공정 이후, 잔류하는 제1 포토레지스트 패턴(PR1) 및 잔류하는 제2 마스크층(M2)을 제거할 수 있다.
이어서, 패터닝된 제1 마스크층(M1)의 측벽 상에 스페이서들(SP)을 형성할 수 있다. 구체적으로, 스페이서들(SP)을 형성하는 것은, 기판(100)의 전면 상에 스페이서막을 콘포멀하게 형성하는 것, 및 상기 스페이서막 상에 이방성 식각 공정을 수행하는 것을 포함할 수 있다. 일 예로, 스페이서들(SP)은 티타늄 산화물(TiOx), 실리콘 산화물(SiOx), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 알루미늄 산화물(AlOx) 및 주석 산화물(SnOx) 중 적어도 하나를 포함할 수 있다.
도 4a 및 도 4b를 참조하면, 기판(100)의 전면 상에 제1 필링막(FL1)을 형성할 수 있다. 일 예로, 제1 필링막(FL1)은 SOH(Spin on hardmask)를 포함할 수 있다.
제1 필링막(FL1) 상에 제2 개구들(OP2)을 갖는 제2 포토레지스트 패턴(PR2)을 형성할 수 있다. 구체적으로, 제2 포토레지스트 패턴(PR2)을 형성하는 것은, 제1 필링막(FL1) 상에 제2 포토레지스트 막을 형성하는 것, 및 도 1의 제2 포토 마스크를 이용해 상기 제2 포토레지스트 막에 제2 포토리소그래피 공정을 수행하는 것을 포함할 수 있다. 상기 제2 포토리소그래피 공정은 노광 및 현상 공정을 수행하는 것을 포함할 수 있다. 제2 개구들(OP2)은 도 1의 제2 레이아웃 패턴들(LP2)에 의해 구현된 것일 수 있다.
제2 포토레지스트 패턴(PR2)의 제2 개구들(OP2) 각각은, 스페이서(SP)의 적어도 일부와 수직적으로 중첩될 수 있다.
도 5a 및 도 5b를 참조하면, 제2 포토레지스트 패턴(PR2)을 마스크로 제2 패터닝 공정을 수행할 수 있다. 구체적으로, 제2 패터닝 공정을 수행하는 것은, 제2 포토레지스트 패턴(PR2)을 마스크로 제1 필링막(FL1)을 패터닝 하는 것, 및 패터닝된 제1 필링막(FL1) 및 스페이서들(SP)을 마스크로 제1 마스크층(M1)을 패터닝 하는 것을 포함할 수 있다. 제2 포토레지스트 패턴(PR2)의 제2 개구들(OP2)은 스페이서들(SP)과 수직적으로 중첩되므로, 제1 마스크층(M1)이 패터닝 될 때 스페이서들(SP)은 식각 마스크의 역할을 할 수 있다. 제2 패터닝 공정 동안 스페이서들(SP)에 의해 제1 마스크층(M1)이 자기 정렬적으로 패터닝될 수 있다. 제2 패터닝 공정 이후, 잔류하는 제2 포토레지스트 패턴(PR2) 및 잔류하는 제1 필링막(FL1)을 제거할 수 있다.
도 6a 및 도 6b를 참조하면, 스페이서들(SP) 및 제1 마스크층(M1)을 마스크로 제3 패터닝 공정을 수행할 수 있다. 구체적으로, 제3 패터닝 공정을 수행하는 것은, 스페이서들(SP) 및 제1 마스크층(M1)을 마스크로 하드 마스크층(HM)을 패터닝 하는 것, 및 패터닝된 하드 마스크층(HM)을 마스크로 타겟층(TG)을 패터닝하는 것을 포함할 수 있다. 잔류하는 스페이서들(SP), 제1 마스크층(M1) 및 하드 마스크층(HM)은 제거될 수 있다. 이어서, 패터닝된 타겟층(TG)의 개구들을 채우는 금속층이 형성될 수 있다. 다시 말하면, 타겟층(TG) 내에 금속층이 형성될 수 있다.
금속층은 제1 금속 패턴들(MT1) 및 제2 금속 패턴들(MT2)을 포함할 수 있다. 제1 및 제2 금속 패턴들(MT1,MT2)은 구리(Cu) 또는 텅스텐(W)을 포함할 수 있다. 제1 금속 패턴들(MT1)은 도 1의 제1 레이아웃 패턴들(LP1)에 의해 정의될 수 있고, 제2 금속 패턴들(MT2)은 도 1의 제2 레이아웃 패턴들(LP2)에 의해 정의될 수 있다.
제1 금속 패턴들(MT1)은 제1 금속 배선(ML1) 및 제2 금속 배선(ML2)을 포함할 수 있다. 제1 및 제2 금속 배선들(ML1,ML2)은 제2 방향(D2)으로 연장할 수 있다. 제1 및 제2 금속 배선들(ML1,ML2)은 서로 평행하게 연장할 수 있다.
제1 금속 배선(ML1)은 제1 부분(ML11) 및 제2 부분(ML12)을 포함할 수 있다. 제1 부분(ML11)은 제2 방향(D2)으로 연장할 수 있다. 제1 금속 배선(ML1)의 단부에 제2 부분(ML12)이 위치할 수 있다. 제2 부분(ML12)은 제1 부분(ML1)과 연결된 부분에서 제2 방향(D2)으로 갈수록 제1 방향(D1)으로의 폭이 좁아질 수 있다.
제2 금속 배선(ML2)은 제3 부분(ML21) 및 제4 부분(ML22)을 포함할 수 있다. 제3 부분(ML21)은 제2 방향(D2)으로 연장할 수 있다. 제2 금속 배선(ML2)의 단부에 제4 부분(ML22)이 위치할 수 있다. 제4 부분(ML22)은 제3 부분(ML21)과 연결된 부분에서 제2 방향(D2)으로 갈수록 제1 방향(D1)으로의 폭이 좁아질 수 있다.
제2 금속 패턴들(MT2)은 제3 금속 배선(ML3)을 포함할 수 있다. 제3 금속 배선(ML3)은 제2 방향(D2)으로 연장할 수 있다. 제3 금속 배선(ML3)은 제1 및 제2 금속 배선들(ML1,ML2)과 서로 평행하게 연장할 수 있다. 제3 금속 배선(ML3)은 제1 금속 배선(ML1)과 제1 방향(D1)으로 인접할 수 있다. 제3 금속 배선(ML3)은 제2 금속 배선(ML2)과 제1 방향(D1)으로 인접할 수 있다. 제3 금속 배선(ML3)은 제1 및 제2 금속 배선들(ML1,ML2) 사이에 제공될 수 있다.
제3 금속 배선(ML3)은 제5 부분(ML31) 및 제6 부분(ML32)을 포함할 수 있다. 제5 부분(ML31)은 제2 방향(D2)으로 연장할 수 있고, 제1 금속 배선(ML1)의 제1 부분(ML11) 및 제2 금속 배선(ML2)의 제3 부분(ML21)과 인접할 수 있다. 제6 부분(ML32)은 제1 금속 배선(ML1)의 제2 부분(ML12) 및 제2 금속 배선(ML2)의 제4 부분(ML22)과 인접할 수 있다. 제6 부분(ML32)은 제5 부분(ML31)과 연결된 부분에서 제2 방향(D2)으로 갈수록 제1 방향(D1)으로의 폭이 넓어질 수 있다.
일 예로, 제1 금속 배선(ML1)의 제1 부분(ML11)의 제1 방향(D1)으로의 폭, 제2 금속 배선(ML2)의 제3 부분(ML21)의 제1 방향(D1)으로의 폭, 및 제3 금속 배선(ML3)의 제5 부분(ML31)의 제1 방향(D1)으로의 폭은 실질적으로 동일할 수 있다.
제1 금속 배선(ML1) 및 제3 금속 배선(ML3)간의 피치는 제1 피치(P1)일 수 있다. 제2 금속 배선(ML2) 및 제3 금속 배선(ML3)간의 피치는 제2 피치(P2)일 수 있다. 일 예로, 제1 피치(P1) 및 제2 피치(P2)는 실질적으로 동일할 수 있다.
일 예로, 제1 금속 배선(ML1)의 제1 부분(ML11)과 제3 금속 배선(ML3)의 제5 부분(ML31)의 최단 거리는 제1 금속 배선(ML1)의 제2 부분(ML12)과 제3 금속 배선(ML3)의 제6 부분(ML32)의 최단 거리와 실질적으로 동일할 수 있다. 일 예로, 제2 금속 배선(ML2)의 제3 부분(ML21)과 제3 금속 배선(ML3)의 제5 부분(ML31)의 최단 거리는 제2 금속 배선(ML2)의 제4 부분(ML22)과 제3 금속 배선(ML3)의 제6 부분(ML32)의 최단 거리와 실질적으로 동일할 수 있다.
도 7은 본 발명의 제2 실시예에 따른 반도체 소자의 금속층을 정의하는 레이아웃을 나타낸 평면도이다.
도 7을 참조하면, 레이아웃 패턴들(LP1,LP2,LP3)이 배치될 수 있다. 레이아웃 패턴들(LP1,LP2,LP3)은 제1 레이아웃 패턴들(LP1), 제2 레이아웃 패턴들(LP2) 및 제3 레이아웃 패턴들(LP3)을 포함할 수 있다. 제2 레이아웃 패턴들(LP2)은 제1 레이아웃 패턴들(LP1)과 중첩될 수 있다.
제1 레이아웃 패턴들(LP1)을 기초로 제1 포토마스크가 제작될 수 있고, 제2 레이아웃 패턴들(LP2)을 기초로 제2 포토마스크가 제작될 수 있고, 제3 레이아웃 패턴들(LP3)을 기초로 제3 포토마스크가 제작될 수 있다. 다시 말하면, 도 7에 나타난 레이아웃을 통하여, 총 3장의 포토마스크들이 각각 별개로 제작될 수 있다. 제1 내지 제3 포토마스크들을 통해 기판 상에 패턴들이 각각 별도로 구현될 수 있다.
도 8a 내지 도 23a는 본 발명의 제2 실시예에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 평면도들이고, 도 8b 내지 도 23b는 각각 도 8a 내지 도 23a의 A-A'선에 따른 단면도들이고, 도 8c 내지 도 23c는 각각 도 8a 내지 도 23a의 B-B'선에 따른 단면도들이고, 도 20d는 도 20c의 A영역의 확대도이다.
도 8a 내지 도 8c를 참조하면, 기판(100) 상에 타겟층(TG), 하드 마스크층(HM), 제1 메모리얼층(MR1), 제1 마스크층(M1) 및 제2 마스크층(M2)을 순차적으로 형성할 수 있다. 상기 기판(100)은 반도체 기판일 수 있다. 일 예로, 상기 기판(100)은 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판 및 화합물 반도체 기판 중 하나일 수 있다. 일 예로, 타겟층(TG)은 실리콘 절연막일 수 있다. 일 예로, 하드 마스크층(HM)은 티타늄 질화물(TiN)을 포함할 수 있다. 일 예로, 제1 메모리얼층(MR1)은 실리콘 산화물을 포함할 수 있다. 일 예로, 제1 마스크층(M1)은 비정질 실리콘, SOH(Spin on hardmask), SOG(Spin on glass), 실리콘 질화물(SiN), 실리콘 산탄 질화물(SiOCN), 실리콘 탄질화물(SiCN) 및 주석 산화물(SnOx) 중 적어도 하나를 포함할 수 있다. 일 예로, 제2 마스크층(M2)은 실리콘 함유 반사 방지막(SiARC), SOH(Spin on hardmask) 및 비정질 탄소 중 적어도 하나를 포함할 수 있다.
제2 마스크층(M2) 상에 제1 개구들(OP1)을 갖는 제1 포토레지스트 패턴(PR1)이 형성될 수 있다. 구체적으로, 제1 포토레지스트 패턴(PR1)을 형성하는 것은, 제2 마스크층(M2) 상에 제1 포토레지스트 막을 형성하는 것, 및 도 8의 제1 포토 마스크를 이용해 상기 제1 포토레지스트 막에 노광 및 현상 공정을 수행하는 것을 포함할 수 있다. 제1 포토레지스트 패턴(PR1)의 제1 개구들(OP1) 각각의 제1 방향(D1)으로의 폭은 제1 폭(W1)일 수 있다. 제1 개구들(OP1)은 도 7의 제1 레이아웃 패턴들(LP1)에 의해 구현된 것일 수 있다.
도 9a 내지 도 9c를 참조하면, 제1 포토레지스트 패턴(PR1)을 마스크로 제1 패터닝 공정을 수행할 수 있다. 이에 따라, 제1 마스크층(M1)에 제2 개구들(OP2)이 형성될 수 있다. 구체적으로, 상기 제1 패터닝 공정을 수행하는 것은, 제1 포토레지스트 패턴(PR1)을 마스크로 제2 마스크층(M2)을 패터닝 하는 것 및 패터닝된 제2 마스크층(M2)을 마스크로 제1 마스크층(M1)을 패터닝 하는 것을 포함할 수 있다. 제1 패터닝 공정 이후, 잔류하는 제2 마스크층(M2)을 제거할 수 있다.
패터닝된 제1 마스크층(M1)의 제2 개구들(OP2) 각각의 제1 방향(D1)으로의 폭은 제2 폭(W2)일 수 있다. 잔류하는 제2 마스크층(M2)의 제거 이후, 패터닝된 제1 마스크층(M1)의 풀백(Pull back) 공정을 수행할 수 있다. 풀백 공정은 건식 식각 공정 또는 습식 식각 공정을 포함할 수 있다. 풀백 공정에 의해, 패터닝된 제1 마스크층(M1)의 측벽이 식각될 수 있다. 따라서, 평면적 관점에서 제2 개구들(OP2)의 크기는 제1 개구들(OP1)의 크기보다 더 커질 수 있다. 일 예로, 제2 개구들(OP2) 각각의 제2 폭(W2)은 그와 수직적으로 중첩되는 제1 개구(OP1)의 제1 폭(W1) 보다 넓을 수 있다.
도시되지는 않았지만, 제1 마스크층(M1) 상에 보호층이 더 형성될 수 있다. 보호층은 제1 마스크층(M1)의 상면을 덮을 수 있다. 보호층은 제1 마스크층(M1)의 측벽이 식각될 때, 제1 마스크층(M1)의 상면이 식각되는 것을 방지할 수 있다.
도 10a 내지 도 10c를 참조하면, 기판(100)의 전면 상에 스페이서막(SL)이 콘포멀하게 형성될 수 있다. 상기 스페이서막(SL)은 이방적으로 식각될 수 있는 물질을 포함할 수 있다. 일 예로, 스페이서막(SL)은 티타늄 산화물(TiOx), 실리콘 산화물(SiOx), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 알루미늄 산화물(AlOx) 및 주석 산화물(SnOx) 중 적어도 하나를 포함할 수 있다.. 일 예로, 스페이서막(SL)은 ALD(Atomic layer deposition) 방식으로 형성될 수 있다. 스페이서막(SL)에 의해, 제1 마스크층(M1)의 제2 개구들(OP2) 각각의 일부가 채워질 수 있다.
도 11a 내지 도 11c를 참조하면, 제1 식각 공정을 진행하여, 상기 스페이서막(SL)의 일부가 제거될 수 있다. 제1 식각 공정은 이방적 식각 공정일 수 있다. 이와 함께, 제1 마스크층(M1)의 측벽들을 덮는 스페이서들(SP)이 형성될 수 있다. 스페이서들(SP)은 제1 마스크층(M1)의 제2 개구들(OP2) 내에 형성될 수 있다. 스페이서들(SP)이 형성되면서, 제3 개구들(OP)이 형성될 수 있다. 제3 개구(OP3)는 스페이서(SP)에 의해 평면적으로 둘러싸일 수 있다. 제3 개구들(OP3) 각각은 각각의 제2 개구들(OP2)과 중첩될 수 있다. 제3 개구들(OP3)에 의해, 제1 메모리얼층(MR1)의 상면의 일부가 노출될 수 있다.
스페이서들(SP) 각각은 제1 부분(SP1) 및 제2 부분(SP2)을 포함할 수 있다. 스페이서(SP)의 제1 부분(SP1)은 상부에서 하부로 향할수록 제1 방향(D1)으로의 폭이 증가할 수 있다. 다시 말하면, 스페이서(SP)의 제1 부분(SP1)의 상면은 굴곡질 수 있다. 스페이서(SP)의 제2 부분(SP2)은 제1 방향(D1)으로의 폭이 일정할 수 있다.
도 12a 내지 도 12c를 참조하면, 기판(100)의 전면 상에 제1 필링막(FL1), 제2 메모리얼층(MR2), 제3 마스크층(M3)을 순차적으로 형성할 수 있다. 제1 필링막(FL1)은 제3 개구들(OP3)을 채울 수 있다. 일 예로, 제1 필링막(FL1)은 SOH(Spin on hardmask)를 포함할 수 있다. 일 예로, 제2 메모리얼층(MR2)은 실리콘 산화물을 포함할 수 있다. 일 예로, 제3 마스크층(M3)은 실리콘 함유 반사 방지막(SiARC), SOH(Spin on hardmask) 및 비정질 탄소 중 적어도 하나를 포함할 수 있다.
제3 마스크층(M3) 상에 제4 개구들(OP4)을 갖는 제2 포토레지스트 패턴(PR2)이 형성될 수 있다. 구체적으로, 제2 포토레지스트 패턴(PR2)을 형성하는 것은, 제3 마스크층(M3) 상에 제2 포토레지스트 막을 형성하는 것, 및 도 7의 제2 포토 마스크를 이용해 상기 제2 포토레지스트 막에 노광 및 현상 공정을 수행하는 것을 포함할 수 있다. 제4 개구들(OP4)은 도 7의 제2 레이아웃 패턴들(LP2)에 의해 구현된 것일 수 있다. 제4 개구들(OP4)은 제3 개구들(OP3)의 일 부분들과 수직적으로 중첩될 수 있다. 이하에서는, 제4 개구들(OP4)과 수직적으로 중첩되는 제3 개구들(OP3)의 일 부분들이 제6 개구(OP6)로 정의될 수 있다.
도 13a 내지 도 13c를 참조하면, 제2 포토레지스트 패턴(PR2)을 마스크로 제2 패터닝 공정을 수행할 수 있다. 구체적으로, 상기 제2 패터닝 공정을 수행하는 것은, 제2 포토레지스트 패턴(PR2)을 마스크로 제3 마스크층(M3)을 패터닝 하는 것, 패터닝된 제3 마스크층(M3)을 마스크로 제2 메모리얼층(MR2)을 패터닝 하는 것, 및 패터닝된 제2 메모리얼층(MR2)을 마스크로 제1 필링막(FL1)을 패터닝하는 것을 포함할 수 있다. 제2 패터닝 공정 이후, 잔류하는 제2 포토레지스트 패턴(PR2) 및 제3 마스크층(M3)이 제거될 수 있다.
제1 필링막(FL1)이 패터닝되어 제5 개구들(OP5)이 형성될 수 있다. 제1 필링막(FL1)의 제5 개구들(OP5) 각각의 제1 방향(D1)으로의 폭은, 각각의 제5 개구들(OP5)과 수직적으로 중첩하는 제4 개구(OP4)의 제1 방향(D1)으로의 폭 보다 좁을 수 있다. 이는, 제2 패터닝 공정에서 제3 마스크층(M3), 제2 메모리얼층(MR2) 및 제1 필링막(FL1)을 패터닝 하면서 패터닝되는 부분의 폭이 점진적으로 줄어들기 때문일 수 있다.
제5 개구들(OP5)과 제6 개구들(OP6)에 채워진 제1 필링막(FL1)이 제거될 수 있다. 다시 말하면, 제5 개구들(OP5)과 제6 개구들(OP6)이 다시 개방될 수 있다.
도 14a 내지 도 14c를 참조하면, 기판의 전면 상에 제2 필링막(FL2)을 형성할 수 있다. 제2 필링막(FL2)은 제1 필링막(FL1)의 개방된 제5 개구들(OP5) 및 제6 개구들(OP6)을 다시 채울 수 있다.
일 예로, 제2 필링막(FL2)은 스페이서(SP)와 동일한 물질을 포함할 수 있다. 예를 들어, 제2 필링막(FL2) 및 스페이서(SP)는 티타늄 산화물(TiOx)을 포함할 수 있다. 다른 예로, 제2 필링막(FL2)은 스페이서(SP)와 다른 물질을 포함할 수 있다. 제2 필링막(FL2)은 제1 메모리얼층(MR1)과 동일한 물질(예를 들어, 실리콘 산화물)을 포함할 수 있다. 또 다른 예로, 제2 필링막(FL2)은 제1 마스크층(M1)과 동일한 물질(예를 들어, 비정질 실리콘)을 포함할 수 있다.
일 예로, 제2 필링막(FL2)은 ALD(Atomic layer deposition), CVD(Chemical vapor deposition) 또는 스핀 코팅(Spin coating) 방식으로 형성될 수 있다.
도 15a 내지 도 15c를 참조하면, 평탄화 공정을 진행하여, 제2 필링막(FL2)의 일부가 제거될 수 있다. 평탄화 공정은 CMP(Chemical mechanical polishing) 공정 또는 에치백(Etchback) 공정을 포함할 수 있다. 이에 따라, 컷패턴(CP)이 형성될 수 있다. 컷패턴(CP)은, 제2 필링막(FL2)의 제거되지 않고 잔류하는 부분일 수 있다. 일 예로, 컷패턴(CP)의 상면은 제1 필링막(FL1)의 상면 보다 낮은 레벨에 형성될 수 있다. 컷패턴(CP)은 제6 개구(OP6)를 채울 수 있다. 컷패턴(CP)은 제6 개구(OP6)를 정의하는 한 쌍의 스페이서들(SP)을 덮을 수 있다.
도 16a 내지 도 16c를 참조하면, 제2 식각 공정을 진행하여, 제2 메모리얼층(MR2) 및 제1 필링막(FL1)을 제거할 수 있다. 이에 따라, 제3 개구들(OP3)을 채운 제1 필링막(FL1)이 제거될 수 있다. 다시 말하면, 제3 개구들(OP3)이 다시 개방될 수 있다. 제6 개구들(OP6)에는 컷패턴(CP)이 채워져 있으므로, 제6 개구들(OP6)은 개방되지 않을 수 있다.
일 실시예에 있어서, 컷패턴(CP)이 제1 마스크층(M1)과 동일한 물질(예를 들어, 비정질 실리콘)을 포함할 경우, 제2 식각 공정 이후 컷패턴(CP)이 부분적으로 식각될 수 있다. 일 예로, 제2 공정 이후 제3 개구(OP3)에 의해 노출된 컷패턴(CP)의 양 측벽들이 부분적으로 식각될 수 있다. 이에 따라, 컷패턴(CP)의 제2 방향(D2)으로의 폭이 줄어들 수 있다.
도 17a 내지 도 17c를 참조하면, 제1 마스크층(M1), 스페이서(SP) 및 컷패턴(CP)을 마스크로 제3 패터닝 공정을 수행할 수 있다. 이에 따라, 제1 메모리얼층(MR1)이 패터닝되어 제7 개구들(OP7)이 형성될 수 있다. 제1 메모리얼층(MR1)의 제7 개구들(OP7)의 평면적 형상은, 제3 개구들(OP3)의 평면적 형상과 실질적으로 동일할 수 있다.
일 실시예에 있어서, 컷패턴(CP)이 제1 메모리얼층(MR1)과 동일한 물질(예를 들어, 실리콘 산화물)을 포함할 경우, 제3 패터닝 공정 동안 컷패턴(CP)이 부분적으로 식각될 수 있다. 일 예로, 제3 패터닝 공정 동안 제3 개구(OP3)에 의해 노출된 컷패턴(CP)의 양 측벽들이 부분적으로 식각될 수 있다. 이에 따라, 컷패턴(CP)의 제2 방향(D2)으로의 폭이 줄어들 수 있다.
도 18a 내지 도 18c를 참조하면, 기판(100)의 전면 상에 제3 필링막(FL3)을 형성할 수 있다. 제3 필링막(FL3)은 제7 개구들(OP7)을 채울 수 있다.
제3 필링막(FL3) 상에 제8 개구들(OP8)을 갖는 제3 포토레지스트 패턴(PR3)이 형성될 수 있다. 구체적으로, 제3 포토레지스트 패턴(PR3)을 형성하는 것은, 제3 필링막(FL3) 상에 제3 포토레지스트 막을 형성하는 것, 및 도 7의 제3 포토 마스크를 이용해 상기 제3 포토레지스트 막에 노광 및 현상 공정을 수행하는 것을 포함할 수 있다. 제8 개구들(OP8)은 도 7의 제3 레이아웃 패턴들(LP3)에 의해 구현된 것일 수 있다. 제8 개구들(OP8) 각각은 스페이서(SP)의 적어도 일부와 수직적으로 중첩될 수 있다.
도 19a 내지 도 19c를 참조하면, 제3 포토레지스트 패턴(PR3)을 마스크로 제4 패터닝 공정을 수행할 수 있다. 구체적으로, 제4 패터닝 공정을 수행하는 것은 제3 포토레지스트 패턴(PR3)을 마스크로 제3 필링막(FL3)을 패터닝 하는 것을 포함할 수 있다. 제4 패터닝 공정 이후, 잔류하는 제3 포토레지스트 패턴(PR3)이 제거될 수 있다.
제3 필링막(FL3)이 패터닝되어 제9 개구들(OP9)이 형성될 수 있다. 제3 필링막(FL3)의 제9 개구들(OP9)의 제1 방향(D1)으로의 폭은 제3 폭(W3)일 수 있다. 제3 필링막(FL3)의 제9 개구들(OP9)의 일부는 스페이서들(SP)과 수직적으로 중첩될 수 있다. 제3 필링막(FL3)의 제9 개구들(OP9)의 평면적 형상은 제3 포토레지스트 패턴(PR3)의 제8 개구들(OP8)의 평면적 형상과 실질적으로 동일할 수 있다.
도 20a 내지 도 20d를 참조하면, 제3 필링막(FL3) 및 스페이서들(SP)을 마스크로 제5 패터닝 공정을 수행할 수 있다. 구체적으로, 제5 패터닝 공정을 수행하는 것은 패터닝된 제3 필링막(FL3) 및 스페이서들(SP)을 마스크로 제1 마스크층(M1)을 패터닝 하는 것, 및 패터닝된 제1 마스크층(M1)을 마스크로 제1 메모리얼층(MR1)을 패터닝 하는 것을 포함할 수 있다.
제5 패터닝 공정으로, 제10 개구들(OP10)이 형성될 수 있다. 제10 개구들(OP10)은 스페이서들(SP)에 의해 자기 정렬적으로 형성될 수 있다. 다시 말하면, 제5 패터닝 공정 동안 스페이서들(SP)은 식각 마스크의 역할을 할 수 있다. 제10 개구들(OP10)의 제1 방향(D1)으로의 폭은 제4 폭(W4)일 수 있다. 제10 개구들(OP10)을 통해 제1 메모리얼층(MR1)이 패터닝되어, 제11 개구들(OP11)이 형성될 수 있다.
제3 필링막(FL3)의 제9 개구들(OP9)의 일부는 스페이서들(SP)과 수직적으로 중첩되므로, 제1 마스크층(M1)이 패터닝 될 때 스페이서들(SP)이 마스크가 될 수 있다. 따라서, 제10 개구들(OP10)의 제4 폭(W4)은 제3 필링막(FL3)의 제9 개구들(OP9)의 제3 폭(W3)보다 좁을 수 있다. 또한, 스페이서(SP)의 제1 부분(SP1)의 일부가 제거될 수 있다. 이에 따라, 스페이서(SP)의 제1 부분(SP1)의 상면의 일부가 평평해질 수 있다. 또한, 스페이서(SP)의 제1 부분(SP1) 중 평평해진 제1 부분(SP1)의 상면 보다 높은 레벨에 위치하는 부분이 제3 부분(SP3)으로 정의될 수 있다. 다시 말하면, 스페이서(SP)의 제3 부분(SP3)은 평평해진 제1 부분(SP1)의 상면의 레벨 보다 높은 레벨에 위치할 수 있다.
도 21a 내지 도 21c를 참조하면, 제5 패터닝 공정 이후, 잔류하는 제3 필링막(FL3), 제1 마스크층(M1), 컷패턴(CP) 및 스페이서들(SP)을 제거할 수 있다. 컷패턴(CP)이 스페이서들(SP)과 동일한 물질을 포함하는 경우, 컷패턴(CP) 및 스페이서들(SP)을 한번에 제거할 수 있다.
도 22a 내지 도 22c를 참조하면, 제1 메모리얼층(MR1)을 마스크로 제6 패터닝 공정을 수행할 수 있다. 구체적으로, 제6 패터닝 공정을 수행하는 것은, 제1 메모리얼층(MR1)을 마스크로 하드 마스크층(HM)을 패터닝 하는 것, 및 패터닝된 하드 마스크층(HM)을 마스크로 타겟층(TG)을 패터닝 하는 것을 포함할 수 있다. 타겟층(TG)이 패터닝되어 제12 개구들(OP12)이 형성될 수 있다. 제6 패터닝 공정 이후, 잔류하는 제1 메모리얼층(MR1) 및 잔류하는 하드 마스크층(HM)을 제거할 수 있다.
도 23a 내지 도 23c를 참조하면, 타겟층(TG)의 제12 개구들(OP12)에 금속을 채워 금속층을 구성할 수 있다. 금속층은 제1 금속 배선들(ML1) 및 제2 금속 배선들(ML2)을 포함할 수 있다. 제1 및 제2 금속 배선들(ML1,ML2)은 구리(Cu) 또는 텅스텐(W)을 포함할 수 있다. 제1 금속 배선들(ML1)은 도 7의 제1 레이아웃 패턴들(LP1)에 의해 정의될 수 있고, 제2 금속 배선들(ML2)은 도 7의 제3 레이아웃 패턴들(LP3)에 의해 정의될 수 있다. 제1 금속 배선들(ML1) 및 제2 금속 배선들(ML2)은 평면적으로 서로 이격될 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (10)

  1. 기판 상에 타겟층 및 제1 마스크층을 순차적으로 형성하는 것;
    상기 제1 마스크층을 패터닝하여, 제1 개구를 형성하는 것;
    상기 제1 개구의 내측벽을 덮는 스페이서를 형성하는 것;
    상기 제1 마스크층 상에 제2 개구를 갖는 제1 포토레지스트 패턴을 형성하는 것, 상기 제2 개구는 상기 스페이서의 적어도 일부와 수직적으로 중첩되고;
    상기 스페이서를 마스크로 상기 제2 개구에 의해 노출된 상기 제1 마스크층을 패터닝하여, 상기 제1 개구와 인접하는 제3 개구를 형성하는 것; 및
    잔류하는 상기 제1 마스크층 및 상기 스페이서를 식각 마스크로 상기 타겟층을 패터닝 하는 것을 포함하는 반도체 소자의 제조방법.
  2. 제1 항에 있어서,
    상기 제2 개구의 폭은 상기 제3 개구의 폭보다 넓은 반도체 소자의 제조방법.
  3. 제1 항에 있어서,
    상기 제1 개구를 형성하는 것은,
    상기 제1 마스크층 상에 제2 포토레지스트 패턴을 형성하는 것, 상기 제2 포토레지스트 패턴을 마스크로 상기 제1 마스크층을 패터닝 하는 것, 및 패터닝된 상기 제1 마스크층의 측벽을 식각하는 것을 포함하는 반도체 소자의 제조방법.
  4. 제3 항에 있어서,
    상기 제1 개구를 형성하는 것은,
    상기 제1 마스크층의 상면을 덮는 보호층을 형성하는 것을 더 포함하고,
    상기 보호층은 상기 제1 마스크층의 측벽이 식각될 때 상기 제1 마스크층의 상면을 보호하는 반도체 소자의 제조방법.
  5. 제1 항에 있어서,
    상기 스페이서의 적어도 일부를 덮는 컷패턴을 형성하는 것을 더 포함하고,
    상기 컷패턴을 형성하는 것은,
    상기 스페이서의 적어도 일부를 덮는 제1 필링막을 형성하는 것, 및 상기 제1 필링막의 일부를 제거하는 것을 포함하는 반도체 소자의 제조방법.
  6. 제5 항에 있어서,
    상기 컷패턴은 상기 스페이서와 동일한 물질을 포함하는 반도체 소자의 제조방법.
  7. 제5 항에 있어서,
    상기 컷패턴은 상기 제1 마스크층과 동일한 물질을 포함하는 반도체 소자의 제조방법.
  8. 제5 항에 있어서,
    상기 타겟층 및 상기 제1 마스크층 사이에 제1 메모리얼층을 형성하는 것을 더 포함하고,
    상기 컷패턴은 상기 제1 메모리얼층과 동일한 물질을 포함하는 반도체 소자의 제조방법.
  9. 제5 항에 있어서,
    상기 제1 필링막의 일부를 제거하는 것은,
    에치백 공정 또는 CMP 공정을 통해 상기 제1 필링막의 일부를 제거하는 것을 포함하는 반도체 소자의 제조방법.
  10. 제5 항에 있어서,
    상기 컷패턴을 형성하는 것은,
    상기 컷패턴을 부분적으로 식각하여 그의 폭을 줄이는 것을 더 포함하는 반도체 소자의 제조방법.
KR1020180041021A 2018-04-09 2018-04-09 반도체 소자 및 그 제조방법 KR102617139B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020180041021A KR102617139B1 (ko) 2018-04-09 2018-04-09 반도체 소자 및 그 제조방법
US16/185,137 US10468252B2 (en) 2018-04-09 2018-11-09 Semiconductor device and method of manufacturing the same
SG10201901761V SG10201901761VA (en) 2018-04-09 2019-02-27 Semiconductor device and method of manufacturing the same
EP19160999.9A EP3553810B1 (en) 2018-04-09 2019-03-06 Semiconductor device and method of manufacturing the same using spacer mask
CN201910193558.9A CN110364432B (zh) 2018-04-09 2019-03-14 半导体器件及其制造方法
JP2019058272A JP7410648B2 (ja) 2018-04-09 2019-03-26 半導体素子及びその製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180041021A KR102617139B1 (ko) 2018-04-09 2018-04-09 반도체 소자 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20190117984A true KR20190117984A (ko) 2019-10-17
KR102617139B1 KR102617139B1 (ko) 2023-12-26

Family

ID=65724177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180041021A KR102617139B1 (ko) 2018-04-09 2018-04-09 반도체 소자 및 그 제조방법

Country Status (6)

Country Link
US (1) US10468252B2 (ko)
EP (1) EP3553810B1 (ko)
JP (1) JP7410648B2 (ko)
KR (1) KR102617139B1 (ko)
CN (1) CN110364432B (ko)
SG (1) SG10201901761VA (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069564B2 (en) * 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
US20220005688A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090009788A (ko) * 2007-05-14 2009-01-23 마이크론 테크놀로지, 인크. 토포그래피 지향 패터닝
KR20100080243A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US20130260557A1 (en) * 2012-03-29 2013-10-03 Zih-Song Wang Process for semiconductor circuit
US20140193974A1 (en) * 2013-01-09 2014-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100842763B1 (ko) * 2007-03-19 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8048762B2 (en) 2008-08-25 2011-11-01 Elpida Memory, Inc. Manufacturing method of semiconductor device
KR101532012B1 (ko) 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
JP4825891B2 (ja) * 2009-03-31 2011-11-30 株式会社東芝 半導体装置の製造方法およびテンプレート
JP5395837B2 (ja) * 2011-03-24 2014-01-22 株式会社東芝 半導体装置の製造方法
KR101926418B1 (ko) * 2012-05-16 2018-12-10 삼성전자주식회사 반도체 소자의 제조 방법
JP2014072226A (ja) 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
US9069249B2 (en) 2013-02-01 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned patterning with multiple resist layers
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9093378B2 (en) 2013-03-15 2015-07-28 Samsung Electronics Co., Ltd. Method for forming patterns of semiconductor device using SADP process
US9209076B2 (en) * 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9129906B2 (en) * 2013-12-05 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9368349B2 (en) 2014-01-14 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut last self-aligned litho-etch patterning
US9425049B2 (en) 2014-01-14 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cut first self-aligned litho-etch patterning
US9406511B2 (en) * 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
US9754785B2 (en) * 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102370284B1 (ko) 2015-07-24 2022-03-07 에스케이하이닉스 주식회사 반도체장치의 패턴 형성 방법
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
WO2017111924A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US9786554B1 (en) 2016-06-08 2017-10-10 International Business Machines Corporation Self aligned conductive lines

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090009788A (ko) * 2007-05-14 2009-01-23 마이크론 테크놀로지, 인크. 토포그래피 지향 패터닝
KR20100080243A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US20130260557A1 (en) * 2012-03-29 2013-10-03 Zih-Song Wang Process for semiconductor circuit
US20140193974A1 (en) * 2013-01-09 2014-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method

Also Published As

Publication number Publication date
JP7410648B2 (ja) 2024-01-10
EP3553810B1 (en) 2024-01-17
CN110364432A (zh) 2019-10-22
EP3553810A2 (en) 2019-10-16
SG10201901761VA (en) 2019-11-28
CN110364432B (zh) 2024-01-16
JP2019186538A (ja) 2019-10-24
US20190311902A1 (en) 2019-10-10
US10468252B2 (en) 2019-11-05
KR102617139B1 (ko) 2023-12-26
EP3553810A3 (en) 2020-07-01

Similar Documents

Publication Publication Date Title
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
CN106373880B (zh) 半导体元件及其形成方法
CN109545684B (zh) 半导体结构及其形成方法
CN113539799A (zh) 用于半导体器件的图案化方法和由此产生的结构
JP7410648B2 (ja) 半導体素子及びその製造方法
CN108447777B (zh) 自对准双重图型化用的可变空间心轴切割
US20120171867A1 (en) Method for fabricating fine pattern by using spacer patterning technology
CN104658892A (zh) 用于集成电路图案化的方法
KR101169164B1 (ko) 반도체 소자의 형성 방법
TW201931522A (zh) 圖案化可變寬度金屬化線之方法
US7687403B2 (en) Method of manufacturing flash memory device
CN112086433A (zh) 半导体元件及其制备方法
CN109559978B (zh) 半导体结构及其形成方法
US20210280458A1 (en) Semiconductor device and formation method thereof
CN110838447A (zh) 具有各种线宽的半导体器件及其制造方法
US8709947B2 (en) Method for forming pattern
CN111986989A (zh) 半导体结构及其形成方法
TWI833601B (zh) 基底的處理方法
CN109994382B (zh) 修复的掩模结构以及产生的下方图案化结构
CN109920761B (zh) 半导体元件的制作方法
CN114334801A (zh) 半导体结构的形成方法
KR100306905B1 (ko) 접촉홀형성방법
US8105951B2 (en) Method for fabricating device pattern
CN118098936A (zh) 半导体结构的制作方法、掩膜结构及半导体结构
CN113013142A (zh) 集成芯片

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant