CN108447777B - 自对准双重图型化用的可变空间心轴切割 - Google Patents

自对准双重图型化用的可变空间心轴切割 Download PDF

Info

Publication number
CN108447777B
CN108447777B CN201810102773.9A CN201810102773A CN108447777B CN 108447777 B CN108447777 B CN 108447777B CN 201810102773 A CN201810102773 A CN 201810102773A CN 108447777 B CN108447777 B CN 108447777B
Authority
CN
China
Prior art keywords
mandrels
spacers
cut
coating
mandrel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810102773.9A
Other languages
English (en)
Other versions
CN108447777A (zh
Inventor
舒杰辉
金炳烨
刘金平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of CN108447777A publication Critical patent/CN108447777A/zh
Application granted granted Critical
Publication of CN108447777B publication Critical patent/CN108447777B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及自对准双重图型化用的可变空间心轴切割,其关于半导体结构,并且更尤指自对准双图型化用的可变空间心轴切口及制造方法。该方法包括:在衬底上形成多个心轴;在该多个心轴及该衬底的已曝露部分附近形成间隔物;移除该多个心轴的其中至少一者的一部分以形成开口;以及以材料在该开口中进行填充。

Description

自对准双重图型化用的可变空间心轴切割
技术领域
本发明关于半导体结构,并且更尤指自对准双图型化用的可变空间心轴切口及制造方法。
背景技术
随着半导体程序持续向下比例缩放,例如:缩小,装置的诸组件(即间距)之间的所欲间隔小于可使用传统光学掩模及光刻设备来制造的间距。一种用于在更小节点(例如:20nm或更小装置)中达到更高分辨率的方法是要使用多个图型光刻。举例而言,侧壁成像技巧已用于比例缩放装置的空间及特征。举例而言,在侧壁成形技巧中,先(例如:以最小可用间距)形成虚设线,然后在该虚设在线形成侧壁对准的间隔物。移除虚设线但留下间隔物,然后将该间隔物当作图型化掩模用于将所欲图型转移至下面层件。按照这种方式,可达成大约一半最小间距的线间隔。
移除虚设线前,可先在间隔物上将附加材料(例如:反转材料层)用于附加光刻图型化及切割。就具有小间距的后段(BEOL)程序而言,该附加图型化/切割容许变异更大,及/或容许半导体中形成的图型更复杂。然而,用于附加图型化/切割的传统技巧依赖多个步骤,这会使制造成本增加。这些技巧中有许多还会使装置的寄生效能增加。
发明内容
在本发明的一态样中,一种方法包含:在衬底上形成多个心轴;在该多个心轴及该衬底的已曝露部分附近形成间隔物;移除该多个心轴的其中至少一者的一部分以形成开口;以及以材料在该开口中进行填充。
在本发明的一态样中,一种方法包含:在衬底上形成多个心轴;在该多个心轴上形成间隔物;回蚀该间隔物以使该多个心轴的顶端表面及部分该衬底曝露;在该衬底的该已曝露表面上及该间隔物上形成涂料;移除该多个心轴的其中至少一者的一部分以沿着其长度形成切口;以及以材料填充该切口。
在本发明的一态样中,一种结构包含:多个心轴;间隔物材料,位在附近有间隔物材料的该多个心轴的侧壁上;该多个心轴的其中至少一者,沿着其长度具有切口;以及间隔物材料,沿着该长度填充该切口。
附图说明
本发明是通过本发明例示性具体实施例的非限制性实施例,参照注记的多个图式,在以下详细说明中作说明。
图1根据本发明的态样,除了其它特征外,还展示具有间隔物的心轴及各别制作程序。
图2根据本发明的态样,除了其它特征外,还展示涂敷于间隔物材料上方的涂料及各别制作程序。
图3A根据本发明的态样,除了其它特征外,还展示位在掩模材料中的开口及各别制作程序。
图3B展示图3A的俯视图。
图4A根据本发明的态样,除了其它特征外,还展示位在心轴中的切口及各别制作程序。
图4B展示图4A的俯视图。
图5根据本发明的态样,除了其它特征外,还展示通过移除心轴其中一部分所形成的沟槽(切口)中的材料及各别制作程序。
图6根据本发明的态样,除了其它特征外,还展示使该材料及间隔物材料曝露的开口及各别制作程序。
图7A及7B根据本发明的态样,除了其它特征外,还展示介于诸心轴之间的空间及各别制作程序。
图8A至8J展示两个切口可变尖部对尖部非心轴结构的一例示性、非限制性实施例及本文中所述自对准双图型化用的可变空间心轴切口之后才进行的各别制作程序。
具体实施方式
本发明关于半导体结构,并且更尤指自对准双图型化用的可变空间心轴切口及制造方法。更具体地说,本发明提供一种在心轴处制造可变空间的方法,用以改良设计灵活性。有帮助的是,通过在心轴提供可变空间,现有可能进一步降低结构的寄生电容。
在具体实施例中,本文中所述的方法提供一种制作程序,用来在心轴处图型化可变的尖部对尖部空间(tip to tip space),并且以与间隔物相同的材料填充切口区(沿着心轴的长度),以界定心轴切口。在具体实施例中,心轴举例来说,可以是附有TiOx间隔物的非晶硅(a-Si)。通过使用本文中所述材料与程序的组合,有可能将结构的寄生电容另外降低约3%。
本发明的结构可使用若干不同工具以若干方式来制造。不过,一般来说,该方法及工具是用于形成微米及纳米级尺寸的结构。用于制造本发明的结构的方法(即技术)已采用集成电路(IC)技术。举例而言,此等结构建置于晶片上,并且在晶片顶端通过光刻制程所图型化的材料膜中实现。特别的是,制造该结构使用了三个基本建构步骤:(i)在衬底上沉积材料薄膜,(ii)通过光刻成像术在膜上涂敷图型化掩模,以及(iii)选择性地对掩模进行膜的蚀刻。
图1根据本发明的态样展示一种结构及各别制作程序。在具体实施例中,结构10包括形成于衬底12上的后段(BEOL)衬底14。在具体实施例中,BEOL衬底14可以是诸如SiO2或TiN的硬罩,之后可在现有有记录的可变尖部对尖部非心轴程序期间将其移除。衬底12可以是附有配接线(例如:铜或其它金属线)的介电材料,例如:低k介电材料。
仍请参阅图1,多个心轴16是在BEOL衬底14上形成。在具体实施例中,心轴16可以是Si或C为基础的材料;但其它材料亦列入本文考虑范围内。可通过现有的沉积程序,例如:化学气相沉积(CVD),然后通过光刻及蚀刻程序,来形成心轴16。举例而言,在BEOL衬底14上沉积该材料之后,使该材料上方形成的阻剂曝露于能量(光)以形成图型(开口)。具有选择性化学作用的蚀刻程序(例如:反应性离子蚀刻(RIE))将用于透过阻剂的开口在该材料中形成一或多个空间。介于相邻心轴16之间的空间可约为心轴16的3倍厚度。阻剂可接着通过现有的氧气灰化程序或其它已知的剥除剂(stripant)来移除。
阻剂移除后,在心轴16及BEOL衬底14的已曝露部分上形成间隔物材料18。在具体实施例中,间隔物材料18(例如:TiOx)可通过现有的沉积程序来沉积,例如:CVD或原子层沉积(ALD)程序。间隔物材料18可具有与心轴16的厚度大约或实质相等的厚度,因此,在尺寸与心轴16大约相同的间隔物材料之间留下空间。
在图2中,间隔物材料18经受各向异性蚀刻程序以移除水平表面上方的材料;亦即,各向异性蚀刻程序移除BEOL衬底14及心轴16的顶端表面上方的材料。按照这种方式,将使BEOL衬底14的表面及心轴16的顶端表面曝露以供进一步处理步骤之用。于间隔物材料18、BEOL衬底14的已曝露部分及心轴16的顶端表面上方涂敷涂料20。涂料20可以是旋涂玻璃。举例而言,涂料20可以是SiO2或SiOC,是使用原子层沉积(ALD)程序或其它旋涂玻璃沉积程序来沉积。
图3A展示结构在进一步处理后的截面图;而图3B则展示图3A的俯视图。更具体地说,在图3A及3B中,涂料20经受回蚀程序,例如:干蚀刻程序。在具体实施例中,该回蚀将会导致涂料20在间隔物材料18上面被移除,使心轴16的顶端表面曝露。该蚀刻程序将会造成涂料20、心轴16的顶端表面及间隔物材料18形成平坦表面。
仍请参阅图3A及3B,在涂料20、心轴16的顶端表面及间隔物材料18的平坦表面上形成光刻材料堆栈22。在光刻材料堆栈22中形成与心轴16a对准的开口24。光刻材料堆栈22举例来说,可以是SOH、SiON、ARC材料等,其是使用现有的光刻程序(例如,曝露于能量)来形成开口。在具体实施例中,开口24可稍微重迭相邻于间隔物材料18的涂布材料20。举例而言,在具体实施例中,开口24举例来说,可大于间隔物材料18的2倍宽度。在具体实施例中,该开口可使间隔物材料18的一部分及心轴16a的一部分曝露,之后可将其移除以形成切口。
图4A展示结构在心轴拉式处理(mandrel pull processing)后的截面图;而图4B则展示图4A的俯视图。更具体地说,在图4A及4B中,心轴16a的已曝露部分通过选择性蚀刻化学作用来移除。心轴16a的已曝露部分的移除将会沿着心轴16a的长度产生沟槽或切口26,使下面的BEOL衬底14曝露。但是,应认识的是,心轴16a的其余部分仍在光刻材料堆栈22底下维持受到保护。
在图5中,在通过部分移除心轴16a所形成的开口24及切口26内沉积材料28。在具体实施例中,材料28与间隔物材料18是相同材料,例如:TiOx,其可以是可流动材料或可通过现有的ALD程序来沉积。在替换具体实施例中,间隔物材料18及材料28可以是TiN或对心轴材料具有选择性的其它金属。
进一步如图6所示,可将材料28回蚀至间隔物材料18、涂料20及心轴16、16a的顶端表面。在具体实施例中,该回蚀可以是终止于涂料20上的定时蚀刻、或当涂料20开始回蚀时侦测化学作用差异的终点蚀刻。在任一情境中,涂料20或间隔物18的稍微回蚀将不会显著影响最终产品装置的效能。
图7A展示结构在移除旋涂玻璃材料后的截面图;而图7B展示图7A的俯视图。更具体地说,如图7A及7B所示,光刻材料堆栈22可通过现有的剥除技巧来移除。进行此移除后,可通过选择性化学作用来移除旋涂玻璃材料,例如:材料20。所产生的结构沿着其长度包括介于相邻间隔物材料18之间的空间30及介于心轴16a的相邻部分之间的切口32,该切口32填充有材料28。在具体实施例中,切口32可以是间隔物材料18的2倍或更大厚度。在具体实施例中,附加材料28可将结构的寄生电容另外降低约3%。
图8A至8J展示两个切口可变尖部对尖部非心轴结构的一例示性、非限制性实施例及本文中所述自对准双图型化用的可变空间心轴切口之后才进行的各别制作程序。具体而言,图8A展示图型化光阻100。图8B展示所形成的多个心轴105、以及图型化光阻100的移除。可通过现有的沉积程序,然后通过阻剂移除,来形成心轴105。在图8C中,于心轴105上方形成光刻掩模110。在图8D中,心轴105通过现有的蚀刻程序来切割。介于该心轴之间的空间(例如:切口)115小于2倍间隔物宽度。进一步如图8E所示,在诸心轴105之间及空间115内沉积间隔物材料120。在结构上方形成光刻掩模125(图8F),然后形成切口间隔物130(图8G及8H)。在图8I中,通过现有的沉积程序形成阻隔光刻硬罩135,然后接着铜填料140及低k介电材料145(图8J)。
本方法如以上所述,是用于制造集成电路芯片。产生的集成电路芯片可由制造商以空白晶片形式(也就是说,作为具有多个未封装芯片的单一晶片)、当作裸晶粒、或以封装形式来配送。在已封装的例子中,芯片嵌装于单一芯片封装(诸如塑料载体,具有黏贴至主板或其它更高阶载体的引线)中,或多芯片封装(诸如具有表面互连或埋置型互连任一者或两者的陶瓷载体)中。在任一例子中,该芯片接着与其它芯片、离散电路组件及/或其它信号处理装置整合成下列任一者的一部分:(a)诸如主板的中间产品,或(b)最终产品。最终产品可以是包括集成电路芯片的任何产品,范围涵盖玩具及其它低阶应用至具有显示器、键盘或其它输入设备及中央处理器的进阶计算机产品。
本发明的各项具体实施例的描述已为了说明目的而介绍,但用意不在于穷举或受限于所揭示的具体实施例。许多修改及变例对于所属领域技术人员将会显而易知,但不会脱离所述具体实施例的范畴及精神。本文中使用的术语是为了最佳阐释具体实施例的原理、对市场出现的技术所作的实务应用或技术改良、或让所属领域技术人员能够理解本文中所揭示的具体实施例而选择。

Claims (20)

1.一种制造半导体结构的方法,该方法包含:
在衬底上形成多个心轴;
在该多个心轴及该衬底的已曝露部分附近形成多个间隔物;
移除该多个心轴的其中至少一者的一部分以形成切口;以及
以材料填充该切口,其中,填充该切口的该材料及该多个间隔物为相同材料,
其中,该多个心轴的其中该至少一者具有沿着其长度的该切口,该切口介于该多个心轴的其中该至少一者的相邻部分之间,且该多个心轴的其中一心轴的厚度与该多个间隔物的其中一间隔物的厚度相同。
2.如权利要求1所述的方法,其中,该相同材料是TiOx
3.如权利要求1所述的方法,其中:
介于该多个间隔物之间的间隔的尺寸等于该多个心轴的其中一心轴的该厚度。
4.如权利要求1所述的方法,更包含回蚀该多个间隔物以使该多个心轴的表面及该衬底在该多个心轴上介于该多个间隔物之间的表面曝露。
5.如权利要求4所述的方法,更包含:
于该多个间隔物之间,在该多个心轴的该已曝露表面及该衬底的该已曝露表面上形成涂料;以及
回蚀该涂料以使该多个心轴的顶端表面曝露。
6.如权利要求5所述的方法,其中,该涂料是旋涂玻璃材料。
7.如权利要求6所述的方法,其中,该涂料是SiO2或SiOC。
8.如权利要求5所述的方法,更包含:
在该涂料上形成光刻材料堆栈;
在该光刻材料堆栈中形成与该多个心轴的其中至少一者的一部分对准的掩模开口;以及
移除该至少一个心轴的一部分以沿着其该长度通过该掩模开口蚀刻该至少一个心轴的已曝露部分来形成该切口。
9.如权利要求8所述的方法,其中,该切口大于该多个间隔物的其中一间隔物的2倍宽度。
10.如权利要求9所述的方法,其中,填充该切口的材料是TiOx,并且该多个间隔物是TiOx
11.一种制造半导体结构的方法,该方法包含:
在衬底上形成多个心轴;
在该多个心轴上形成多个间隔物;
回蚀该多个间隔物以使该多个心轴的顶端表面及部分该衬底曝露;
在该衬底的该已曝露表面上及该多个间隔物上形成涂料;
移除该多个心轴的其中至少一者的一部分以沿着其长度形成切口,其中,该切口介于该多个心轴的其中该至少一者的相邻部分之间;以及
以材料填充该切口,其中,填充该切口的该材料及该多个间隔物为相同材料,
其中,该多个心轴的其中一心轴的厚度与该多个间隔物的其中一间隔物的厚度相同。
12.如权利要求11所述的方法,其中,该材料及该多个间隔物皆为TiOx
13.如权利要求11所述的方法,其中,该涂料是旋涂玻璃材料。
14.如权利要求11所述的方法,其中,是在该多个心轴、该涂料及该多个间隔物上涂敷光阻掩模,然后在该光阻掩模中形成掩模开口,并且蚀刻该多个心轴的其中该至少一者的该部分,从而形成该切口。
15.如权利要求14所述的方法,更包含在填充该切口之后将该涂料移除。
16.如权利要求14所述的方法,其中,该切口大于2倍间隔物厚度。
17.如权利要求16所述的方法,其中,该多个心轴材料是不同于该涂料及该多个间隔物的材料。
18.如权利要求17所述的方法,其中,当于该多个心轴的其中该至少一者的该部分中形成该切口时,通过该光阻掩模来保护该多个心轴的其余者。
19.一种半导体结构,包含:
多个心轴;
包含间隔物材料的多个间隔物,位在该多个心轴的侧壁上;
该多个心轴的其中至少一者,沿着其长度具有切口,其中,该切口介于该多个心轴的其中该至少一者的相邻部分之间;以及
附加间隔物材料,沿着该长度填充该切口,其中,填充该切口的该附加间隔物材料及该多个间隔物为相同材料,
其中,该多个心轴的其中一心轴的厚度与该多个间隔物的其中一间隔物的厚度相同。
20.一种半导体结构,包含:
多个心轴;
包含间隔物材料的多个间隔物,位在该多个心轴的侧壁上;
该多个心轴的其中至少一者,沿着其长度具有切口;以及
附加间隔物材料,沿着该长度填充该切口,其中,填充该切口的该附加间隔物材料及该多个间隔物为相同材料,
其中,该多个心轴的其中一心轴的厚度与该多个间隔物的其中一间隔物的厚度相同,以及
其中,该附加间隔物材料及该多个间隔物为相同材料。
CN201810102773.9A 2017-02-10 2018-02-01 自对准双重图型化用的可变空间心轴切割 Active CN108447777B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/430,039 2017-02-10
US15/430,039 US10199265B2 (en) 2017-02-10 2017-02-10 Variable space mandrel cut for self aligned double patterning

Publications (2)

Publication Number Publication Date
CN108447777A CN108447777A (zh) 2018-08-24
CN108447777B true CN108447777B (zh) 2023-02-28

Family

ID=63105897

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810102773.9A Active CN108447777B (zh) 2017-02-10 2018-02-01 自对准双重图型化用的可变空间心轴切割

Country Status (3)

Country Link
US (1) US10199265B2 (zh)
CN (1) CN108447777B (zh)
TW (1) TWI637233B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244856B2 (en) * 2017-09-28 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for forming gaps in a material layer
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
CN111640658B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11133260B2 (en) * 2019-11-15 2021-09-28 International Business Machines Corporation Self-aligned top via

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057654A (zh) * 2015-04-06 2016-10-26 格罗方德半导体公司 用于金属布线的自对准双重图案化工艺

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8084347B2 (en) * 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8716124B2 (en) * 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US8999821B2 (en) 2013-08-19 2015-04-07 Applied Materials, Inc. Fin formation by epitaxial deposition
US9129814B2 (en) * 2013-11-25 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9536879B2 (en) * 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region
US9431265B2 (en) * 2014-09-29 2016-08-30 International Business Machines Corporation Fin cut for tight fin pitch by two different sit hard mask materials on fin
US9299787B1 (en) * 2014-09-29 2016-03-29 International Business Machines Corporation Forming IV fins and III-V fins on insulator
US9536985B2 (en) * 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
US9287135B1 (en) * 2015-05-26 2016-03-15 International Business Machines Corporation Sidewall image transfer process for fin patterning

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057654A (zh) * 2015-04-06 2016-10-26 格罗方德半导体公司 用于金属布线的自对准双重图案化工艺

Also Published As

Publication number Publication date
US10199265B2 (en) 2019-02-05
US20180233404A1 (en) 2018-08-16
TWI637233B (zh) 2018-10-01
TW201830128A (zh) 2018-08-16
CN108447777A (zh) 2018-08-24

Similar Documents

Publication Publication Date Title
US10242952B2 (en) Registration mark formation during sidewall image transfer process
CN108447777B (zh) 自对准双重图型化用的可变空间心轴切割
US10014175B2 (en) Lithography using high selectivity spacers for pitch reduction
CN109786225B (zh) 用于半导体器件的图案化方法和由此产生的结构
KR101091298B1 (ko) 반도체 디바이스의 임계 치수를 축소하는 방법 및 축소된 임계 치수를 갖는 부분적으로 제조된 반도체 디바이스
US9831117B2 (en) Self-aligned double spacer patterning process
US9653571B2 (en) Freestanding spacer having sub-lithographic lateral dimension and method of forming same
TWI689609B (zh) 提供多種材料與選擇性移除的反相製程
CN104733291A (zh) 用于集成电路图案化的方法
US9034762B2 (en) Triple patterning method
CN109545684B (zh) 半导体结构及其形成方法
TWI684244B (zh) 圖案化可變寬度金屬化線之方法
US8124537B2 (en) Method for etching integrated circuit structure
CN109559978B (zh) 半导体结构及其形成方法
CN108447820B (zh) 具无倒角通孔多图型化的装置及形成无倒角通孔的方法
US10056291B2 (en) Post spacer self-aligned cuts
US11121026B2 (en) Semiconductor device and method of manufacture
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
US8012811B2 (en) Methods of forming features in integrated circuits
TWI833601B (zh) 基底的處理方法
US8329522B2 (en) Method for fabricating semiconductor device
CN109994382B (zh) 修复的掩模结构以及产生的下方图案化结构
CN115775726A (zh) 半导体结构的形成方法
CN111640668A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210311

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

GR01 Patent grant
GR01 Patent grant