KR20180113897A - 실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법 - Google Patents

실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법 Download PDF

Info

Publication number
KR20180113897A
KR20180113897A KR1020170154764A KR20170154764A KR20180113897A KR 20180113897 A KR20180113897 A KR 20180113897A KR 1020170154764 A KR1020170154764 A KR 1020170154764A KR 20170154764 A KR20170154764 A KR 20170154764A KR 20180113897 A KR20180113897 A KR 20180113897A
Authority
KR
South Korea
Prior art keywords
dielectric
forming
layer
dielectric layers
bonding
Prior art date
Application number
KR1020170154764A
Other languages
English (en)
Other versions
KR102026537B1 (ko
Inventor
밍-파 첸
첸-후아 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180113897A publication Critical patent/KR20180113897A/ko
Application granted granted Critical
Publication of KR102026537B1 publication Critical patent/KR102026537B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • H01L21/4882Assembly of heatsink parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/98Methods for disconnecting semiconductor or solid-state bodies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80004Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a removable or sacrificial coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/804Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/80438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/80447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/82005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83002Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a removable or sacrificial coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Ceramic Engineering (AREA)

Abstract

방법은, 복수의 유전체층을 형성하는 단계와, 상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와, 상기 복수의 유전체층을 에칭하여 개구부를 형성하는 단계와, 상기 개구부를 충전하여, 상기 복수의 유전체층을 관통하는 유전체 관통 비아(through-dielectric via)를 형성하는 단계와, 상기 유전체 관통 비아와 상기 복수의 유전체층 위에 절연층을 형성하는 단계와, 상기 절연층에 복수의 접합 패드를 형성하는 단계와, 하이브리드 접합을 통해 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 일부에 접합하는 단계를 포함한다.

Description

실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법{PACKAGES WITH SI-SUBSTRATE-FREE INTERPOSER AND METHOD FORMING SAME}
<우선권 주장 및 교차 참조>
본 출원은 다음의 가출원 미국 특허 출원: 2017년 4월 7일에 출원한 출원 일련 번호 62/483,256[발명의 명칭: Packages with Si-substrate-free Interposer and Method forming Same]에 대해 우선권을 주장하며, 이 출원은 참조로 본 명세서에 포함된다.
<배경>
집적 회로 패키지는, 더 많은 기능을 달성하기 위해 동일한 패키지 내에 더 많은 디바이스가 패키징됨에 따라 점점 더 복잡해지고 있다. 예를 들어, 패키지는 동일한 인터포저에 접합된 메모리 큐브 및 프로세서 등의 복수의 디바이스 다이를 포함할 수도 있다. 인터포저는 반도체 기판에 기반하여 형성될 수 있는데, 그 반도체 기판에 형성된 실리콘 관통 비아(through-silicon vias)가 인터포저의 대향 측면 상에 형성된 피처들을 상호접속시킨다. 몰딩 화합물이 내부의 디바이스 다이를 밀봉한다. 인터포저를 포함한 패키지와 디바이스 다이가 패키지 기판에 추가로 접합된다. 또한, 표면 실장 디바이스가 기판에 접합될 수도 있다. 디바이스 다이에 발생한 열을 방산시키기 위해 열 확산기(heat spreader)가 디바이스 다이의 상면에 부착될 수 있다. 열 확산기는 패키지 기판 상에 고정된 스커트부(skirt portion)를 가질 수 있다.
본 개시내용의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준 관행에 따라, 다양한 피처를 비율에 따라 도시하지는 않는다. 사실상, 다양한 피처의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1 내지 도 20은 일부 예시적인 실시형태에 따른 실리콘 기판이 없는(Si-레스)(Si-less) 패키지의 형성에 있어서의 중간 스테이지의 단면도이다.
도 21과 도 22는 일부 예시적인 실시형태에 따른 Si-레스 패키지의 형성에 있어서의 중간 스테이지의 단면도이다.
도 23과 도 24는 일부 예시적인 실시형태에 따른 Si-레스 패키지를 포함한 일부 패키지의 단면도이다.
도 25는 일부 실시형태에 따른 패키지를 형성하는 공정 흐름도이다.
이하의 개시내용은 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 구성의 특정 실시예에 대해 후술한다. 물론 이들은 예시일 뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 이어지는 설명에 있어서 제2 피처 위(over) 또는 상(on)의 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉으로 형성되는 실시형태를 포함할 수도 있고, 제1 및 제2 피처가 직접 접촉하지 않도록 제1 및 제2 피처 사이에 추가 피처가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 또한, 본 개시내용은 다양한 실시예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순화 및 명확화를 위한 것이며, 그 자체가 설명하는 다양한 실시형태 및/또는 구성 간의 관계를 지시하지 않는다.
또한, "아래에 있는(underlying)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 피처와 다른 요소(들) 또는 피처(들)와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방향 외에, 사용 또는 동작 시의 디바이스의 상이한 방향도 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방향으로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.
실리콘 기판이 없는(Si-레스) 인터포저에 기반하여 형성된 패키지 및 그 형성 방법이 다양한 예시적인 실시형태들에 따라 제공된다. 패키지를 형성하는 중간 스테이지들이 일부 실시형태에 따라 예시된다. 일부 실시형태의 일부 변형예에 대해 설명한다. 다양한 도면 및 예시적인 실시형태 전체에 있어서, 같은 참조 번호는 같은 요소를 지정하는데 이용된다.
도 1 내지 도 20은 본 개시내용의 일부 실시형태에 따른 패키지의 형성에 있어서의 중간 스테이지의 단면도를 나타내고 있다. 도 1 내지 도 20에 나타내는 단계들은 도 25에 도시한 공정 흐름(300)으로도 개략적으로 반영된다.
도 1은 캐리어(20)와 그 캐리어(20) 상에 형성된 이형층(release layer)(22)을 도시하고 있다. 캐리어(20)는 유리 캐리어, 실리콘 웨이퍼, 유기 캐리어 등일 수 있다. 캐리어(20)는 라운드 평면 형상(round top-view shape)을 가질 수도 있고, 일반적인 실리콘 웨이퍼의 사이즈를 가질 수도 있다. 예를 들어, 캐리어(20)는 8인치 직경, 12인치 직경 등을 가질 수 있다. 이형층(22)은 후속 단계에서 형성될 상부 구조로부터 캐리어(20)와 함께 제거되는, 폴리머계 재료(광열 변환(Light To Heat Conversion, LTHC)) 재료 등)로 형성될 수 있다. 본 개시내용의 일부 실시형태에 따르면, 이형층(22)은 에폭시계 열방출 재료(thermal-release materia)로 형성된다. 이형층(22)은 캐리어(20) 상에 코팅될 수 있다. 이형층(22)의 상면은 평평하고, 고도의 동일 평면성(co-planarity)을 갖는다.
이형층(22) 상에 유전체층(24)이 형성된다. 본 개시내용의 일부 실시형태에 따르면, 유전체층(24)은 폴리머로 형성되며, 이것은 포토 리소그래피 공정을 이용해 쉽게 패터닝될 수 있는, 폴리벤즈옥사졸(PBO), 폴리이미드, 벤조시클로부텐(BCB) 등의 감광성 재료일 수도 있다.
유전체층(24) 위에는 재배선층(Redistribution Line, RDL)(26)이 형성된다. RDL(26)의 형성은, 유전체층(24) 위에 시드층(도시 생략)을 형성하는 단계와, 시드층 위에 포토레지스트 등의 패터닝된 마스크(도시 생략)을 형성하는 단계와, 그 다음에, 노출된 시드층 상에 금속 도금을 행하는 단계를 포함할 수 있다. 그리고 패터닝된 마스크와, 그 패터닝된 마스크에 의해 덮이는 시드층의 부분이 제거되어, 도 1에서와 같이 RDL(26)이 남는다. 본 개시내용의 일부 실시형태에 따르면, 시드층은 티탄층과, 그 티탄층 위에 구리층을 포함한다. 시드층은 예컨대 물리적 기상 증착(PVD)을 이용하여 형성될 수 있다. 도금은 예컨대 무전해 도금을 이용하여 행해질 수 있다.
계속 도 1을 참조하면, 유전체층(28)이 RDL(26) 상에 형성된다. 유전체층(28)의 바닥면은 유전체층(24)과 RDL(26)의 상면과 접촉한다. 본 개시내용의 일부 실시형태에 따르면, 유전체층(28)은 폴리머로 형성되며, 이것은 PBO, 폴리이미드, BCB 등의 감광성 재료일 수 있다. 그리고 유전체층(28)은 내부에 개구부(30)를 형성하도록 패터닝된다. 그러므로, RDL(26)의 일부는 유전체층(28) 내의 개구부(30)를 통해 노출된다.
다음으로, 도 2을 참조하면, RDL(32)이 RDL(26)에 접속하도록 형성된다. RDL(32)은 유전체층(28) 위에 금속 트레이스(금속 라인)를 포함한다. RDL(32)은 유전체층(28) 내의 개구부로 연장되는 비아도 포함한다. RDL(32)은 도금 공정으로도 형성되는데, 이 공정에서는 각각의 RDL(32)이 시드층(도시 생략)과, 그 시드층 위의 도금된 금속성 재료를 포함한다. 시드층과 도금된 재료는 같은 재료 또는 상이한 재료로 형성될 수 있다. RDL(32)은 알루미늄, 구리, 텅스텐 및 그 합금을 포함한 금속 또는 금속 합금을 포함할 수 있다. 유전체층(28 및 34)과 RDL(32 및 36)을 형성하는 단계들은 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 302로서 표현된다.
도 3을 참조하면, 유전체층(34)이 RDL(32)과 유전체층(28) 위에 형성된다. 유전체층(34)은 유전체층(28)과 동일한 후보 재료에서 선택될 수 있는 폴리머를 이용해 형성될 수 있다. 예를 들어, 유전체층(34)는 PBO, 폴리이미드, BCB 등으로 형성될 수도 있다. 한편, 유전체층(34)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물 등의 무기 유전체 재료를 포함할 수도 있다.
도 3은 RDL(32)에 전기적으로 접속되는 RDL(36)의 형성을 도시하고 있다. RDL(36)의 형성은 RDL(32)을 형성하는 것과 유사한 재료 및 방법을 채택할 수 있다. 도시하는 예시적인 실시형태에서는, 2개의 폴리머층(28 및 34) 및 거기에 형성된 각각의 RDL(32 및 36)에 대해 논의되지만, 라우팅 요건 및 응력 완충용의 폴리머를 사용하는 요건에 따라, 더 적거나 더 많은 유전체층이 채택될 수도 있다. 예를 들어, 단일 폴리머층, 또는 3개, 4개 또는 그 이상의 폴리머층이 있을 수도 있다.
도 4는 패시베이션층(38 및 42) 및 RDL(40 및 44)의 형성을 도시하고 있다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 304로서 표현된다. 본 개시내용의 일부 실시형태에 따르면, 패시베이션층(38 및 42)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 실리콘 산소(oxy)-탄소(carbo)-질화물, USG(Un-doped Silicate Glass), 또는 이들의 다층 등의 무기 재료로 형성된다. 패시베이션층(38 및 42) 각각은 단층이거나 복합층일 수 있으며, 비다공성 재료로 형성될 수 있다. 본 개시내용의 일부 실시형태에 따르면, 패시베이션층(38 및 42) 중 한 층 또는 양 층은 실리콘 산화물층(별도로 도시하지 않음)과 그 실리콘 산화물층 위의 실리콘 질화물층(별도로 도시하지 않음)을 포함하는 복합층이다. 패시베이션층(38 및 42)은 후속 단락에서 논의되겠지만, 습기 및 유해한 화학물질이 패키지 내의 미세 피치(fine-pitch) RDL과 같은 전도성 피처에 액세스하는 것을 차단하는 기능을 갖는다.
RDL(40 및 44)은 알루미늄, 구리, 알루미늄 구리, 니켈 또는 이들의 합금으로 형성될 수 있다. 일부 실시형태에 따르면, RDL(44)의 일부 부분은 도 11에 도시하는 바와 같이, 후속으로 형성된 TDV(through-Dielectric Vias)를 랜딩하기에 충분히 큰 금속 패드로서 형성된다. 이들 금속 패드는 일부 실시형태에 따라 금속 패드(44) 또는 알루미늄 패드(44)로서 적절하게 지칭된다. 또한, 패시베이션층의 수는 1, 2(예시의 경우), 3, 또는 그 이상의 임의의 정수일 수 있다.
도 5는 복수의 유전체층 중 하나의 형성을 도시하고 있다. 예를 들어, 도시하는 바와 같이, 유전체층(46)은 내부에 상측 RDL(44)를 매립하도록 형성될 수 있다. 유전체층(48)은 유전체층(46) 위에 형성되며, 에칭 정지층으로서 기능할 수 있다. 본 개시내용의 일부 실시형태에 따르면, 유전체층(46 및 48)은 단일 유전체층으로 대체될 수도 있다. 유전체층(46 및 48)의 이용 가능한 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물 등을 포함한다.
도 6, 도 7 및 도 8은 본 개시내용의 일부 실시형태에 따른 유전체층 및 미세 피치 RDL의 형성을 도시하고 있다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 306으로서 표현된다. 형성 방법은 실리콘 기판을 기반으로 한 디바이스 다이를 위한 상호접속 구조를 형성하는 방법을 채택할 수 있다. 예를 들어, 상호접속 구조의 형성 방법은 싱글 다마신 및/또는 더블 다마신 공정을 포함할 수 있다. 따라서, 그 결과로 형성된 RDL은 선택적으로 금속 라인으로도 지칭되고, 대응하는 유전체층은 선택적으로 IMD(Inter-Metal-Dielectric)층으로도 지칭된다.
도 6을 참조하면, 유전체층(50A 및 54A)과 에칭 정지층(52A)이 형성된다. 유전체층(50A 및 54A)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물 등, 또는 약 3.0보다 k 값이 작은 로우k 유전체 재료로 형성될 수 있다. 로우k 유전체 재료는 Black Diamond(Applied Materials사의 등록 상표), 탄소 함유 로우k 유전체 재료, HSQ(Hydrogen SilsesQuioxane), MSQ(MethylSilsesQuioxane) 등을 포함할 수 있다. 에칭 정지층(52A)는 유전체층(50A 및 54A)에 대해 높은 에칭 선택성을 가진 재료로 형성되는데, 실리콘 탄화물, 실리콘 탄질화물 등으로 형성될 수 있다. 대안의 실시형태에 따르면, 에칭 정지층(52A)은 형성되지 않는다.
미세 피치 RDL(56A)이 라우팅을 위해 유전체층(52A 및 54A)에 형성된다. 단일의 도시하는 미세 피치 RDL(56A)가 복수의 미세 피치 RDL을 나타내는 것임을 알 것이다. 본 개시내용의 일부 실시형태에 따른 미세 피치 RDL은 다마신 공정을 이용하여 형성되기 때문에, (구조의 평면도에서 볼 경우) 예컨대 약 0.8 ㎛보다 작은 피치로 매우 얇게 형성될 수 있다. 이것은 미세 피치 RDL의 밀도 및 라우팅 능력을 상당히 개선시킨다. 일부 실시형태에 따르면, 미세 피치 RDL(56A)은 단일 다마신 공정을 이용하여 형성되는데, 이 공정은 유전체층(50A 및 52A)을 에칭하여 트렌치를 형성하는 단계와, 전도성 재료로 트렌치를 충전하는 단계와, 화학적 기계 연마(CMP) 또는 기계적 연삭(mechanical grinding) 등의 평탄화를 수행하여 유전체층(54A) 위의 전도성 재료의 부분을 제거하는 단계를 포함한다.
본 개시내용의 일부 실시형태에 따르면, 미세 피치 RDL(56A)를 형성하기 위한 전도성 재료는 동종 재료(homogenous material)이다. 본 개시내용의 다른 실시형태에 따르면, 전도성 재료는 티탄, 티탄 질화물, 탄탈, 탄탈 질화물 등으로 형성된 배리어층과, 배리어층 위의 구리 함유 재료(구리 또는 구리 합금)을 포함한 복합 재료이다. 미세 피치 RDL(56A)가 더블 다마신 공정으로도 형성될 수 있어, 일부 비아는 일부 미세 피치 RDL(56A) 아래에 형성될 수 있고, 그 비아는 미세 피치 RDL(56A)을 RDL(44)에 접속하는데 사용될 수 있다.
도 7은 유전체층(50B 및 54B) 및 에칭 정지층(52B)의 형성을 도시하고 있다. 유전체층(50B 및 54B)의 재료는 유전체층(50A 및 54A)을 형성하기 위한 동일한 후보 재료 중에서 선택될 수 있고, 에칭 정지층(52B)의 재료는 에칭 정지층(52A)을 형성하기 위한 동일한 후보 재료 중에서 선택될 수 있다.
미세 피치 RDL(56B)도 유전체층(50B, 52B, 및 54B)에 형성된다. 미세 피치 RDL(56B)는 유전체층(54B)에 형성된 금속 라인과, 유전체층(50B 및 52B)에 형성된 비아를 포함한다. 도 7은 금속 라인이 오버에칭에 의해 에칭 정지층(52B)으로 연장되는 것을 도시하고 있지만, RDL(56B) 내의 금속 라인은 에칭 정지층(52B)의 상면 상에서 실제 멈출 수 있으며, 이 에칭 정지층을 관통하지 않는다. 그 형성은, 유전체층(54B)에 트렌치를 그리고 유전체층(50B 및 52B)에 비아 개구부를 형성하는 단계와, 전도성 재료를 충전하는 단계와, 이어서 기계적 연삭 또는 화학적 기계 연마(CMP) 등의 평탄화를 수행하는 단계를 포함하는 더블 다마신 공정을 포함할 수 있다. 마찬가지로, 미세 피치 RDL(56B)은 동종 재료로 형성될 수도, 또는 배리어층 및 배리어층 위의 구리 함유 재료를 포함하는 복합 재료로 형성될 수도 있다.
도 8은 유전체층(50C 및 54C), 에칭 정지층(52C), 및 미세 피치 RDL(56C)의 형성을 도시하고 있다. 형성 방법 및 재료는 하부 각각의 층과 유사하기 때문에, 반복 설명하지 않는다. 또, 에칭 정지층(52A, 52B, 및 52C)이 일부 실시형태에 따라 생략될 수도 있으며, 트렌치를 형성하기 위한 대응하는 에칭은 트렌치의 깊이를 제어하는 타임 모드(time-mode)를 이용하여 수행될 수 있다. 더 많은 유전체층 및 미세 피치 RDL층이 형성될 수도 있음을 알 것이다. 또한, 에칭 정지층(52A, 52B, 및 52C)의 일부 또는 전부가 생략될 수 있더라도, 미세 피치 RDL이 위치하는 유전체층들이 상이한 공정으로 형성되기 때문에, 이들 유전체층이 동일한 유전체 재료로 형성되든지 또는 상이한 유전체 재료로 형성되든지에 관계 없이, 미세 피치 RDL(56A, 56B, 및 56C)을 형성하는 유전체층 사이의 계면을 구별할 수 있다. 후속 단락에서, 유전체층(50A, 52A, 54A, 50B, 52B, 54B, 50C, 52C, 및 54C)은 식별을 용이하게 하기 위해 집합적으로 또 개별적으로 유전체층(58)이라고 지칭된다. 미세 피치 RDL(56A, 56B 및 56C)도 집합적으로 또 개별적으로 미세 피치 RDL(56)이라고 지칭된다. 마찬가지로, 도 8은 RDL(56C) 내의 금속 라인이 오버에칭에 의해 에칭 정지층(52C)으로 연장되는 것을 도시하고 있지만, RDL(56C) 내의 금속 라인은 에칭 정지층(52C)의 상면 상에서 실제 멈출 수 있으며, 이 에칭 정지층을 관통하지 않는다.
도 9를 참조하면, 유전체층(48 및 58)이 에칭되어 TDV(Through-Dielectric Via) 개구부(60)를 형성한다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 308로서 표현된다. 금속 패드(44)는 TDV 개구부(60)에 노출된다. 도 9에 도시하는 구조의 평면도에서 볼 경우, 비아 개구부(60)는 미세 피치 RDL(56)이 형성되는 영역을 둘러싸는 링(ring)으로 정렬될 수 있다. 비아 개구부(60)의 평면 형상(top-view shape)은 직사각형, 원형, 육각형 등일 수 있다.
다음으로, TDV 개구부(60)는 전도성 재료로 충전되어 TDV(62)를 형성하고, 그 결과의 구조가 도 10에 도시된다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 310으로서 표현된다. 본 개시내용의 일부 실시형태에 따르면, TDV(62)는 동종의 전도성 재료로 형성되며, 이 재료는 구리, 알루미늄, 텅스텐 등을 포함하는 금속 또는 금속 합금일 수 있다. 본 개시내용의 대안적 실시형태에 따르면, TDV(62)는 티탄, 티탄 질화물, 탄탈, 탄탈 질화물 등으로 형성된 전도성 배리어층과, 배리어층 위의 금속 함유 재료를 포함하는 복합 구조를 갖는다. 본 개시내용의 일부 실시형태에 따르면, 유전체 절연층이 TDV(62) 각각을 둘러싸도록 형성된다. 대안적 실시형태에 따르면, TDV(62)를 둘러싸도록 형성되는 유전체 절연층이 없고, TDV(62)가 유전체층(58)과 물리적으로 접촉한다. TDV(62)의 형성은 또한, 전도성 재료를 TDV 개구부(60)(도 9)에 적층하는 단계와, 유전체층(58) 위에 적층된 재료의 과량 부분을 제거하도록 평탄화를 수행하는 단계를 포함한다.
도 11은 접합 패드(66)와 유전체층(64)의 형성을 도시하며, 접합 패드(66)는 유전체층(64)에 위치한다. 명세서 전반에 걸쳐서, 유전체층(64)은 선택적으로 절연층으로 또는 유전체 절연 영역으로 칭해진다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 312로서 표현된다. 접합 패드(66)는 하이브리드 접합(hybrid bonding)을 형성하기에 용이한 금속으로 형성될 수 있다. 본 개시내용의 일부 실시형태에 따르면, 접합 패드(66)는 구리 또는 구리 합금으로 형성된다. 유전체층(64)은 예컨대 실리콘 산화물로 형성될 수도 있다. 유전체층과 접합 패드(66)의 상면은 동일 평면 상에 있다. 평면성(planarity)은 예컨대 CMP 또는 기계적 연삭 단계 등의 평탄화 단계를 통해 달성될 수 있다.
명세서 전반에 걸쳐서, 층(22) 위의 구성요소들을 합쳐서 인터포저(100)로 지칭한다. 실리콘 기판에 기반하여 형성된 통상의 인터포저와는 달리, 인터포저(100)는 유전체층(58)에 기반하여 형성된다. 인터포저(100)에 실리콘 기판이 없기 때문에, 인터포저(100)는 실리콘 기판이 없는 인터포저 또는 Si-레스 인터포저로 지칭된다. TDV(62)가 유전체층(58)에 형성되어 통상의 실리콘 관통 비아를 대체한다. 실리콘 기판이 반도성(semiconductive)이기 때문에, 회로 및 그 내부 및 그 위에 형성된 접속부의 성능에 악영향을 미칠 수 있다. 예를 들어, 실리콘 기판에 의해 야기되는 신호의 열화가 있지만, 본 개시내용의 실시형태에서는 TDV(62)가 유전체층으로 형성되기 때문에 이러한 열화를 피할 수 있다.
다음으로, 도 12에 도시하는 바와 같이 디바이스(68A 및 68B)가 인터포저(100)에 접합된다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 314로서 표현된다. 디바이스(68A 및 68B)는 디바이스 다이일 수도 있고, 따라서 패키지 등의 다른 유형의 디바이스일 수도 있지만 이하에서는 디바이스 다이로 지칭된다. 본 개시내용의 일부 실시형태에 따르면, 디바이스 다이(68A 및 68B)는 중앙 처리 장치(CPU) 다이, 마이크로 제어 유닛(MCU) 다이, 입출력(IO) 다이, 베이스밴드(BaseBand) 다이, 또는 애플리케이션 프로세서(Application Processor, AP) 다이일 수 있는 로직 다이를 포함할 수 있다. 디바이스 다이(68A 및 68B)는 메모리 다이도 포함할 수 있다. 디바이스 다이(68A 및 68B)는 각각 실리콘 기판일 수도 있는 반도체 기판(70A 및 70B)을 포함한다. 또한, 디바이스 다이(68A 및 68B)는 각각, 디바이스 다이(68A 및 68B) 내의 능동 디바이스 및 수동 디바이스에 접속하기 위한 상호접속 구조(72A 및 72B)를 포함한다. 상호접속 구조(72A 및 72B)는 금속 라인 및 비아(도시 생략)를 포함한다.
디바이스 다이(68A)는 디바이스 다이(68A)의 도시하는 바닥면에 접합 패드(74A) 및 유전체층(76A)을 포함한다. 접합 패드(74A)의 도시하는 바닥면은 유전체층(76A)의 도시하는 바닥면과 동일 평면 상에 있다. 디바이스 다이(68B)는 도시하는 바닥면에 접합 패드(74B) 및 유전체층(76B)을 포함한다. 접합 패드(74B)의 도시하는 바닥면은 유전체층(76B)의 도시하는 바닥면과 동일 평면 상에 있다.
접합은 하이브리드 접합을 통해 달성될 수 있다. 예를 들어, 접합 패드(74A 및 74B)는 금속 대 금속의 직접 접합을 통해 접합 패드(66)에 접합된다. 본 개시내용의 일부 실시형태에 따르면, 금속 대 금속의 직접 접합은 구리 대 구리 직접 접합이다. 또한, 유전체층(76A 및 76B)은 예컨대 생성된 Si-O-Si 결합으로 유전체층(64)에 접합된다. 하이브리드 접합은 사전 접합(pre-bonding) 및 어닐링을 포함할 수 있고, 따라서 접합 패드(74A 및 74B) 내의 금속이 각각의 하부 접합 패드(66) 내의 금속과 상호 확산(inter-diffuse)한다.
미세 피치 RDL(56)은 접합 패드(74A) 및 접합 패드(74B)를 전기적으로 상호접속하고, 디바이스 다이(68A 및 68B) 간의 신호 통신에 사용된다. 미세 피치 RDL(56)은 소(小) 피치 및 소(小) 폭을 갖는다. 따라서, 미세 피치 RDL(56)의 밀도가 높기 때문에, 디바이스 다이(68A 및 68B) 간의 직접 통신에 충분한 통신 채널이 형성될 수 있다. 한편, TDV(62)는 디바이스 다이(68A 및 68B)와, 인터포저(100)에 접합되는 구성요소(패키지 기판, 인쇄 회로 기판(PCB) 등일 수 있음)의 사이에 직접 접속을 제공한다. 더욱이, 접합 패드(74A/74B 및 66) 사이의 접합은, 통상적으로 접합 패드보다 훨씬 큰 솔더 조인트를 통해서 이루어지기보다는 접합 패드를 통해 이루어진다. 따라서, 접합부의 수평 크기가 작고, 접합부가 더 많이 구현되어 충분한 통신 채널을 제공할 수 있다.
도 13을 참조하면, 디바이스 다이(68A 및 68B)를 예컨대 약 15 ㎛ 내지 약 30 ㎛의 두께로 박화하기 위해 후면 연삭이 수행된다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 316으로서 표현된다. 갭 충전을 수행하기 위해 박화를 통해, 인접한 디바이스 다이(68A 및 68B) 사이의 갭(78)의 종횡비가 감소된다. 그렇지 않으면, 갭 충전은 높은 종횡비로 인해 어렵다.
다음으로, 갭(78)은 도 14에 도시하는 바와 같이 갭 충전재(80)로 채워진다 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 318로서 표현된다. 본 개시내용의 일부 실시형태에 따르면, 갭 충전재(80)는 TEOS(tetraethyl orthosilicate)로 형성될 수 있는 실리콘 산화물 등의 산화물을 포함한다. 형성 방법은 화학적 기상 증착(CVD), 고밀도 플라즈마 화학적 기상 증착(HDPCVD) 등을 포함할 수 있다. 대안적 실시형태에 따르면, 갭 충전재(80)는 PBO, 폴리이미드 등의 폴리머로 형성된다. 그런 다음, 갭 충전재(80)의 과량부를 제거하도록 평탄화가 수행되어 디바이스 다이(68A 및 68B)의 기판(70A 및 70B)이 드러난다. 그렇게 형성된 구조가 도 15a에 도시된다. 갭 충전재(80)가 산화물(실리콘 산화물 등)로 형성되는 본 개시내용의 대안적 실시형태에 따르면, 갭 충전재(80)의 박층이 기판(70A 및 70B) 위에 남겨지고, 그렇게 형성된 구조가 도 15b에 도시된다. 디바이스 다이(68A 및 68B) 위의 갭 충전재(80)의 잔여 부분은 이하에서 유전체층(82) 또는 절연층(82)으로도 지칭된다.
기판(70A 및 70B)이 노출되어 있는 도 15a에 도시하는 실시형태에 따르면, 예컨대 CVD, 플라즈마 강화 CVD(PECVD), 원자층 적층(ALD) 등을 이용하여 유전체층(82)이 블랭킷층으로서 적층된다(도 16에 도시). 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 320으로서 표현된다. 갭 충전재(80)(82로도 표시됨)의 박층이 기판(70A 및 70B) 위에 남겨져 있는 대안적인 실시형태(도 15b에 도시)에 따르면, 유전체층의 적층은 생략될 수도 있다. 다음으로, 유전체층(82) 및 기판(70A 및 70B)을 에칭하여 트렌치(84)가 형성되는데, 이 트렌치(84)는 유전체층(82) 및 기판(70A 및 70B)으로 연장된다. 그렇게 형성된 구조가 도 16에 도시된다. 기판(70A 및 70B) 내부의 트렌치(84)의 부분의 깊이(D1)는 기판(70A 및 70B)의 두께(T1)에 따라, 약 1 ㎛보다 클 수도 있고, 약 2 ㎛와 약 5 ㎛ 사이일 수도 있다. 예를 들어, 깊이(D1)는 두께(T1)의 약 20 % 내지 약 60 %일 수도 있다. 명세서 전반에서 언급하는 값은 예시일 뿐이며, 다른 값으로 변할 수 있다는 것을 알 것이다.
트렌치(84)는 다양한 패턴으로 분포될 수 있다. 예를 들어, 트렌치(84)는 어레이, 벌집 패턴, 또는 다른 반복 패턴으로서 배치될 수 있는 별개의 개구부로서 형성될 수 있다. 트렌치(84)의 평면 형상은 직사각형, 정사각형, 원형, 육각형 등일 수 있다. 대안적 실시형태에 따르면, 도 16에 도시하는 구조의 평면도에서 볼 때, 트렌치(84)는 단일 방향으로 연장되는 평행한 트렌치일 수 있다. 트렌치(84)는 또한 그리드를 형성하도록 상호접속될 수도 있다. 그리드는 서로 평행하고 균일하게 또는 불균일하게 이격된 제1 복수의 트렌치와, 서로 평행하고 균일하게 또는 불균일하게 이격된 제2 복수의 트렌치를 포함할 수 있다. 제1 복수의 트렌치 및 제2 복수의 트렌치는 서로 교차하여 그리드를 형성하고, 제1 복수의 트렌치 및 제2 복수의 트렌치는 평면도에서 서로 수직일 수도 있고 그렇지 않을 수도 있다.
그런 다음, 도 17에 도시하는 바와 같이, 트렌치(84)가 충전되어 접합 패드(86)를 형성한다. 또한, 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 320으로서 표현된다. 피처(86)가 접합 패드로 지칭되지만, 피처(86)는 별개의 패드 또는 상호접속된 금속 라인일 수 있는 것을 알 것이다. 일부 실시형태에 따르면, 접합 패드(86)는 하이브리드 접합에 적합한 구리 또는 다른 금속으로 형성된다(상대적으로 확산이 용이하기 때문이다). 충전 후에, 접합 패드(86)의 상면을 유전체층(82)의 상면과 평면화하기 위해 평탄화가 수행된다. 평탄화는 CMP 또는 기계적 연삭 공정을 포함할 수 있다.
다음으로, 도 18a에 도시하는 바와 같이, 웨이퍼(88)가 디바이스 다이(68A 및 68B)에 접합된다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 322로서 표현된다. 웨이퍼(88)는 실리콘 기판 또는 금속 기판일 수 있는 벌크 기판(94)을 포함한다. 벌크 기판(94)은 동일한 캐리어(20) 위에 배치된 복수의 디바이스 다이(68A) 및 복수의 디바이스 다이(68B) 상에도 연장되는 웨이퍼이다. 금속으로 형성될 때, 기판(94)은 구리, 알루미늄, 스테인리스 스틸 등으로 형성될 수 있다. 기판(94)이 실리콘으로 형성되는 경우, 웨이퍼(88) 내에는 능동 소자 및 수동 소자가 형성되지 않는다. 웨이퍼(88)는 두 가지 기능을 갖는다. 첫째, 웨이퍼(88)는 더 양호한 갭 충전을 가능하게 하도록 디바이스 다이(68A 및 68B)가 얇아졌기 때문에 하부 구조에 기계적 지지를 제공한다. 또한, (기판(94)의) 실리콘 또는 금속이 높은 열 전도성을 가지기 때문에, 웨이퍼(88)는 열 확산기로서 기능할 수도 있다
유전체층(90)이 기판(94)의 표면(30A)에 형성된다. 유전체층(90)은 예컨대 실리콘 산화물로 형성될 수도 있다. 또한, 접합 패드(92)가 유전체층(90)에 형성되고, 접합 패드(92)의 도시하는 바닥면은 유전체층(90)의 도시하는 하부면과 동일 평면 상에 있다. 접합 패드(92)의 패턴 및 수평 크기는 각각의 접합 패드(86)의 패턴 및 수평 크기와 동일하거나 유사할 수 있다.
디바이스 다이(68A 및 68B) 상에서의 웨이퍼(88)의 접합은 하이브리드 접합을 통해 이루어진다. 예를 들어, 유전체층(82 및 90)은 서로 접합되고, Si-O-Si 결합을 형성할 수도 있다. 접합 패드(92)는 금속 대 금속의 직접 접합을 통해 각각의 접합 패드(86)에 접합된다.
바람직하게는, 기판(70A 및 70B)에 접촉시킴으로써(또한 심지어 삽입된) 접합 패드(86)가 양호한 열 방산 경로를 제공하여, 디바이스 다이(68A 및 68B)에서 발생한 열이 벌크 기판(94)으로 쉽게 방산될 수 있다.
도 18b는 본 개시내용의 일부 실시형태에 따라 형성된 패키지를 도시한다. 이들 실시형태는 접합 패드(86)가 유전체층(82)을 관통하여 기판(70A 및 70B)으로 연장되지 않는 것을 제외하고는 도 18a에 도시한 실시형태와 유사하다. 접합 패드(86)는 일부 실시형태에 따라 기판(70A 및 70B)과 접촉한다. 대안의 실시형태에 따르면, 접합 패드(86 및 92) 중 하나 또는 둘 다는 각각의 유전체층(82 및 90)을 관통하는 대신에, 접합이 이루어지는 계면으로부터 각각의 유전체층(82 및 90)으로 부분적으로 연장된다. 접합 패드(86 및 92) 및 벌크 기판(94)은 기판(70A 및 70B)에 대한 전기적 접지를 제공하기 위해 본 개시내용의 일부 실시형태에 따라 전기적으로 접지될 수도 있다.
도 18c는 본 개시내용의 일부 실시형태에 따라 형성된 패키지를 도시한다. 이들 실시형태는, (도 18a 및 도 18b에서의) 접합 패드(86 및 92) 및 유전체층(82)이 형성되지 않는 것을 제외하고는 도 18a 및 도 18b에 도시한 실시형태와 유사하다. 웨이퍼(88)이기도 하고 실리콘 웨이퍼인 벌크 기판(94)이 용융 접합을 통해 유전체층(82)에 접합된다.
본 개시내용의 대안의 실시형태에 따르면, 웨이퍼(88)는 금속 웨이퍼이다. 따라서, 도 18c의 층(82)은 열전도성이 높은 접착제층인 열 계면 재료(TIM)일 수 있다.
다음으로, 캐리어(20) 상에 형성된 구조는, 예컨대 UV광 또는 레이저 등의 광을 이형층(22) 상에 투사하여 이형층(22)을 분해함으로써 캐리어(20)로부터 분리되고, 캐리어(20) 및 이형층(22)은 복합 웨이퍼(102)(도 19)라고 지칭되는 상부 구조로부터 떼어진다.
도 20은 유전체층(24)을 관통하여 RDL(26)에 접속될 수 있는 전기 커넥터(110)의 형성을 도시한다. 전기 커넥터(110)는 금속 범프, 솔더 범프, 금속 필러(metal pillar), 와이어 본드, 또는 기타 이용 가능한 커넥터일 수 있다. 다이 소잉(die-saw) 단계가 복합 웨이퍼(102)에 대해 행해져 복합 웨이퍼(102)를 복수의 패키지(104)로 분리한다. 각각의 단계는 도 25에 도시하는 바와 같이 공정 흐름(300)의 단계 324로서 표현된다. 패키지(104)는 서로 동일하고, 각각의 패키지(104)는 2개의 디바이스 다이(68A 및 68B)를 포함한다.
도 21과 도 22는 본 개시내용의 일부 실시형태에 따른 패키지의 형성에 있어서의 중간 스테이지의 단면도를 나타내고 있다. 다른 식으로 명시하지 않는다면, 이들 실시형태에서의 구성요소의 재료 및 형성 방법은, 도 1 내지 도 20에 도시한 실시형태에서의 유사한 도면부호가 표시되는 유사한 구성요소와 실질적으로 같을 수 있다. 그렇기 때문에, 도 21 내지 도 22에 도시하는 구성요소의 형성 공정 및 재료에 관한 상세내용은 도 1 내지 도 20에 도시한 실시형태의 설명에서 찾을 수 있다. 도 21은, 금속 패드(45)는 유전체층(24) 상에 형성되지만 도 20에 도시하는 유전체층(28, 34, 38 및 42) 및 RDL(32, 36, 40 및 44)은 캐리어(20) 상에 형성되지 않는 것을 제외하고는, 도 20에 도시한 것과 본질적으로 동일한 복합 웨이퍼(102)의 단면도를 도시한다. 그 대신, 도 21에 도시한 단계 이후의 구조를 도시하는 도 22에 도시하는 바와 같이, 유전체층(28, 34, 38 및 42) 및 RDL(32, 36, 40 및 44)은 캐리어(20)(도 21)가 분리된 후에 형성된다. 이들 실시형태에 따른 유전체층(28, 34, 38 및 42)의 형성 순서는 도 1 내지 도 11에 도시한 순서와 반대로 이루어진다. 상이한 형성 순서로 인해, RDL(32, 36, 40 및 44)의 오리엔테이션은 도 20에 도시한 것에 비교해 (수직 방향으로) 반전된다. 그런 다음 복합 웨이퍼(102)를 다이 소잉함으로써 패키지(104)가 형성된다.
도 23은 패키지(104)(도 20과 도 22)가 매립되어 있는 패키지(112)를 도시한다. 패키지는 복수의 적층형 메모리 다이(별도로 도시하지 않음)를 포함한 메모리 큐브(114)를 포함한다. 패키지(104)와 메모리 큐브(114)는 몰딩 화합물일 수 있는 밀봉재(118)로 밀봉된다. 유전체층과 RDL(집합적으로 116으로 표시)은 패키지(104) 및 메모리 큐브(114) 아래에 배치되어 이들에 접속된다. 일부 실시형태에 따르면, 유전체층과 RDL(116)은 도 1 내지 도 11에 도시한 것과 유사한 재료를 사용하여 형성되고 유사한 구조를 갖는다.
도 24는 상부 패키지(140)와 접합된 일체형 팬아웃(Info) 패키지(138)를 갖는 패키지-온-패키지(PoP) 구조(132)를 도시한다. InFO 패키지(138)는 그 내부에 매립된 패키지(104)를 포함한다. 패키지(104) 및 관통 비아(134)는 몰딩 화합물일 수 있는 밀봉재(130)로 밀봉된다. 패키지(104)는 집합적으로 146로 표시되는 유전체층과 RDL에 접합된다. 유전체층과 RDL(146)은 또한 도 1 내지 도 11에 도시한 것과 유사한 재료를 사용하여 형성되고 유사한 구조를 가질 수 있다.
본 개시내용의 실시형태는 몇몇 효과적인 특징을 갖는다. 실리콘 웨이퍼 상에 통상 이용되는 공정(다마신 공정 등)을 사용하여 인터포저를 위한 미세 피치 RDL을 형성함으로써, 미세 피치 RDL은 미세 피치 RDL 전체를 통해 2개 이상의 디바이스 다이의 통신을 위한 능력을 제공하기에 충분히 얇게 형성될 수 있다. 인터포저에는 실리콘 기판이 사용되지 않으므로 실리콘 기판으로 인한 전기적 성능의 저하가 방지된다. 또한, 더 나은 방열을 위해 패키지에 일부 열 방산 메커니즘이 내장되어 있다.
본 개시내용의 일부 실시형태에 따르면, 방법은 복수의 유전체층을 형성하는 단계와, 상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와, 상기 복수의 유전체층을 에칭하여 개구부를 형성하는 단계와, 상기 개구부를 충전하여, 상기 복수의 유전체층을 관통하는 유전체 관통 비아(through-dielectric via)를 형성하는 단계와, 상기 유전체 관통 비아와 상기 복수의 유전체층 위에 절연층을 형성하는 단계와, 상기 절연층에 복수의 접합 패드를 형성하는 단계와, 하이브리드 접합(hybrid bonding)을 통해 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 일부에 접합하는 단계를 포함한다.
본 개시내용의 일부 실시형태에 따르면, 방법은 복수의 유전체층을 형성하는 단계와, 상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와, 상기 복수의 유전체층을 관통하는 제1 유전체 관통 비아와 제2 유전체 관통 비아를 형성하는 단계와, 상기 복수의 유전체층 위에 절연층을 형성하는 단계와, 상기 절연층에 복수의 접합 패드를 형성하여 상기 제1 및 제2 유전체 관통 비아 및 상기 복수의 재배선 라인에 전기적으로 결합시키는 단계와, 하이브리드 접합을 통해 제1 디바이스와 제2 디바이스를 상기 유전체층 및 상기 복수의 접합 패드에 접합하는 단계를 포함한다. 제1 디바이스와 제2 디바이스는 복수의 재배선 라인을 통해 전기적으로 상호접속된다.
본 개시내용의 일부 실시형태에 따르면, 패키지는 복수의 유전체층과, 상기 복수의 유전체층 각각에 있는 복수의 재배선 라인과, 상기 복수의 유전체층을 관통하는 유전체 관통 비아와, 상기 유전체 관통 비아 및 상기 복수의 재배선 라인 위에서 상기 유전체 관통 비아 및 상기 복수의 재배선 라인에 접속되는 복수의 접합 패드를 포함하고, 상기 복수의 접합 패드는 절연층에 위치한다. 하이브리드 접합을 통해 디바이스가 절연층 및 복수의 접합 패드의 일부에 접합된다.
이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.
<부기>
1. 방법에 있어서,
복수의 유전체층을 형성하는 단계와,
상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와,
상기 복수의 유전체층을 에칭하여 개구부를 형성하는 단계와,
상기 개구부를 충전하여, 상기 복수의 유전체층의 일부를 관통하는 유전체 관통 비아(through-dielectric via)를 형성하는 단계와,
상기 유전체 관통 비아와 상기 복수의 유전체층 위에 절연층을 형성하는 단계와,
상기 절연층에 복수의 접합 패드를 형성하는 단계와,
하이브리드 접합(hybrid bonding)을 통해 제1 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 제1 부분에 접합하는 단계를 포함하는 방법.
2. 제1항에 있어서, 하이브리드 접합을 통해 제2 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 제2 부분에 접합하는 단계를 더 포함하고, 상기 복수의 재배선 라인은 상기 제1 디바이스를 상기 제2 디바이스에 접속시키는 것인 방법.
3. 제1항에 있어서, 상기 복수의 재배선 라인을 형성하는 단계는 다마신 공정을 포함하는 것인 방법.
4. 제1항에 있어서, 상기 유전체 관통 비아는 어느 반도체 기판 내로도 연장되지 않는 것인 방법.
5. 제1항에 있어서,
상기 제1 디바이스의 반도체 기판 위에 놓이고 상기 반도체 기판과 접촉하는 산화물층을 형성하는 단계와,
상기 산화물층으로 연장되는 접합 패드를 형성하는 단계와,
하이브리드 접합을 통해 벌크 웨이퍼를 상기 산화물층 및 상기 접합 패드에 접합하는 단계를 더 포함하는 방법.
6. 제5항에 있어서, 상기 접합 패드는 상기 제1 디바이스의 반도체 기판으로 연장되는 것인 방법.
7. 제5항에 있어서, 상기 접합 패드는 상기 제1 디바이스의 반도체 기판으로 연장되는 않고서, 상기 반도체 기판과 접촉하는 것인 방법.
8. 방법에 있어서,
복수의 유전체층을 형성하는 단계와,
상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와,
상기 복수의 유전체층의 일부를 관통하는 제1 유전체 관통 비아와 제2 유전체 관통 비아를 형성하는 단계와,
상기 복수의 유전체층 위에 절연층을 형성하는 단계와,
상기 절연층에 복수의 접합 패드를 형성하여 상기 제1 및 제2 유전체 관통 비아 및 상기 복수의 재배선 라인에 전기적으로 결합시키는 단계와,
하이브리드 접합을 통해 제1 디바이스와 제2 디바이스를 상기 유전체층 및 상기 복수의 접합 패드의 부분에 접합하는 단계를 포함하고, 상기 제1 디바이스와 상기 제2 디바이스는 상기 복수의 재배선 라인 중 적어도 하나를 통해 전기적으로 상호접속되는 것인 방법.
9. 제8항에 있어서, 상기 복수의 재배선 라인은 다마신 공정을 사용하여 형성되는 것인 방법.
10. 제8항에 있어서,
캐리어 위에 폴리머층을 형성하는 단계와,
상기 폴리머층 위에 패시베이션층을 형성하는 단계로서, 상기 복수의 유전체층은 상기 패시베이션층 위에 형성되는 것인 상기 패시베이션층 형성 단계와,
상기 폴리머층과 상기 패시베이션층에 추가 재배선 라인을 형성하는 단계와,
상기 캐리어를 상기 폴리머층으로부터 떼어내는 단계를 더 포함하는 방법.
11. 제8항에 있어서, 상기 복수의 유전체층은 캐리어 위에 형성되고, 상기 방법은,
상기 복수의 유전체층을 상기 캐리어로부터 분리(de-bonding)시키는 단계와,
상기 분리 후에, 상기 복수의 유전체층 상에 패시베이션층을 형성하는 단계와,
상기 패시베이션층 위에 폴리머층을 형성하는 단계를 더 포함하는 것인 방법.
12. 제8항에 있어서, 상기 제1 유전체 관통 비아와 상기 제2 유전체 관통 비아를 형성하는 단계는,
상기 복수의 유전체층을 에칭하여 제1 개구부와 제2 개구부를 형성하는 단계와,
상기 제1 개구부와 상기 제2 개구부를 전도성 재료로 충전하는 단계를 포함하는 것인 방법.
13. 제8항에 있어서,
상기 제1 디바이스와 상기 제2 디바이스를 박화(thinning)하는 단계와,
상기 제1 디바이스와 상기 제2 디바이스 사이의 갭에 갭 충전재를 충전하는 단계를 더 포함하는 방법.
14. 제13항에 있어서,
박화된 상기 제1 디바이스와 상기 제2 디바이스 위에 유전체층을 형성하는 단계와,
벌크 웨이퍼를 상기 절연층에 접합하는 단계를 더 포함하는 방법.
15. 패키지에 있어서,
복수의 유전체층과,
상기 복수의 유전체층에 있는 복수의 재배선 라인과,
상기 복수의 유전체층의 일부를 관통하는 유전체 관통 비아와,
상기 유전체 관통 비아 및 상기 복수의 재배선 라인 위에서 상기 유전체 관통 비아 및 상기 복수의 재배선 라인에 접속되는 복수의 접합 패드와,
상기 복수의 접합 패드가 위치하는 제1 절연층과,
상기 제1 절연층 및 상기 복수의 접합 패드의 제1 부분에 접합된 제1 디바이스를 포함하고, 상기 제1 디바이스는,
상기 복수의 접합 패드에 접합된 표면 금속 피처와,
상기 제1 절연층에 접합된 표면 유전체층을 포함하는 것인 패키지.
16. 제15항에 있어서, 하이브리드 접합을 통해 상기 제1 절연층 및 상기 복수의 접합 패드의 제2 부분에 접합된 제2 디바이스를 더 포함하고, 상기 제1 디바이스와 상기 제2 디바이스는 상기 복수의 재배선 라인을 통해 서로 전기적으로 결합되는 것인 패키지.
17. 제15항에 있어서,
상기 제1 디바이스의 반도체 기판과 물리적으로 접촉하는 접합 패드와,
제2 절연층으로서, 상기 접합 패드가 상기 제2 절연층 중 적어도 일부를 갖는 것인 상기 제2 절연층과,
상기 제2 절연층과 상기 접합 패드에 접합된 벌크 기판을 더 포함하는 패키지.
18. 제17항에 있어서, 상기 벌크 기판은 실리콘으로 형성되고, 상기 벌크 기판 상에는 능동 디바이스 및 수동 디바이스가 형성되지 않는 것인 패키지.
19. 제17항에 있어서, 상기 접합 패드는 상기 제1 디바이스의 반도체 기판으로 더 연장되는 것인 패키지.
20. 제17항에 있어서, 상기 접합 패드는 그리드를 형성하는 것인 패키지.

Claims (10)

  1. 방법에 있어서,
    복수의 유전체층을 형성하는 단계와,
    상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와,
    상기 복수의 유전체층을 에칭하여 개구부를 형성하는 단계와,
    상기 개구부를 충전하여, 상기 복수의 유전체층의 일부를 관통하는 유전체 관통 비아(through-dielectric via)를 형성하는 단계와,
    상기 유전체 관통 비아와 상기 복수의 유전체층 위에 절연층을 형성하는 단계와,
    상기 절연층에 복수의 접합 패드를 형성하는 단계와,
    하이브리드 접합(hybrid bonding)을 통해 제1 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 제1 부분에 접합하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 하이브리드 접합을 통해 제2 디바이스를 상기 절연층 및 상기 복수의 접합 패드의 제2 부분에 접합하는 단계를 더 포함하고, 상기 복수의 재배선 라인은 상기 제1 디바이스를 상기 제2 디바이스에 접속시키는 것인 방법.
  3. 제1항에 있어서, 상기 복수의 재배선 라인을 형성하는 단계는 다마신 공정을 포함하는 것인 방법.
  4. 제1항에 있어서, 상기 유전체 관통 비아는 어느 반도체 기판 내로도 연장되지 않는 것인 방법.
  5. 제1항에 있어서,
    상기 제1 디바이스의 반도체 기판 위에 놓이고 상기 반도체 기판과 접촉하는 산화물층을 형성하는 단계와,
    상기 산화물층으로 연장되는 접합 패드를 형성하는 단계와,
    하이브리드 접합을 통해 벌크 웨이퍼를 상기 산화물층 및 상기 접합 패드에 접합하는 단계
    를 더 포함하는 방법.
  6. 제5항에 있어서, 상기 접합 패드는 상기 제1 디바이스의 반도체 기판으로 연장되는 것인 방법.
  7. 제5항에 있어서, 상기 접합 패드는 상기 제1 디바이스의 반도체 기판으로 연장되는 않고서, 상기 반도체 기판과 접촉하는 것인 방법.
  8. 방법에 있어서,
    복수의 유전체층을 형성하는 단계와,
    상기 복수의 유전체층에 복수의 재배선 라인(redistribution line)을 형성하는 단계와,
    상기 복수의 유전체층의 일부를 관통하는 제1 유전체 관통 비아와 제2 유전체 관통 비아를 형성하는 단계와,
    상기 복수의 유전체층 위에 절연층을 형성하는 단계와,
    상기 절연층에 복수의 접합 패드를 형성하여 상기 제1 및 제2 유전체 관통 비아 및 상기 복수의 재배선 라인에 전기적으로 결합시키는 단계와,
    하이브리드 접합을 통해 제1 디바이스와 제2 디바이스를 상기 유전체층 및 상기 복수의 접합 패드의 부분에 접합하는 단계
    를 포함하고, 상기 제1 디바이스와 상기 제2 디바이스는 상기 복수의 재배선 라인 중 적어도 하나를 통해 전기적으로 상호접속되는 것인 방법.
  9. 제8항에 있어서,
    캐리어 위에 폴리머층을 형성하는 단계와,
    상기 폴리머층 위에 패시베이션층을 형성하는 단계로서, 상기 복수의 유전체층은 상기 패시베이션층 위에 형성되는 것인 상기 패시베이션층 형성 단계와,
    상기 폴리머층과 상기 패시베이션층에 추가 재배선 라인을 형성하는 단계와,
    상기 캐리어를 상기 폴리머층으로부터 떼어내는 단계
    를 더 포함하는 방법.
  10. 패키지에 있어서,
    복수의 유전체층과,
    상기 복수의 유전체층에 있는 복수의 재배선 라인과,
    상기 복수의 유전체층의 일부를 관통하는 유전체 관통 비아와,
    상기 유전체 관통 비아 및 상기 복수의 재배선 라인 위에서 상기 유전체 관통 비아 및 상기 복수의 재배선 라인에 접속되는 복수의 접합 패드와,
    상기 복수의 접합 패드가 위치하는 제1 절연층과,
    상기 제1 절연층 및 상기 복수의 접합 패드의 제1 부분에 접합된 제1 디바이스
    를 포함하고, 상기 제1 디바이스는,
    상기 복수의 접합 패드에 접합된 표면 금속 피처와,
    상기 제1 절연층에 접합된 표면 유전체층을 포함하는 것인 패키지.
KR1020170154764A 2017-04-07 2017-11-20 실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법 KR102026537B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762483256P 2017-04-07 2017-04-07
US62/483,256 2017-04-07
US15/647,704 US10854568B2 (en) 2017-04-07 2017-07-12 Packages with Si-substrate-free interposer and method forming same
US15/647,704 2017-07-12

Publications (2)

Publication Number Publication Date
KR20180113897A true KR20180113897A (ko) 2018-10-17
KR102026537B1 KR102026537B1 (ko) 2019-09-27

Family

ID=63709961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170154764A KR102026537B1 (ko) 2017-04-07 2017-11-20 실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법

Country Status (4)

Country Link
US (2) US10854568B2 (ko)
KR (1) KR102026537B1 (ko)
CN (1) CN108695166B (ko)
TW (1) TWI653695B (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017124104A1 (de) * 2017-04-07 2018-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Packages mit si-substrat-freiem interposer und verfahren zum bilden derselben
US10957672B2 (en) * 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
TWI700798B (zh) * 2018-07-12 2020-08-01 南韓商三星電子股份有限公司 半導體封裝
KR20200025159A (ko) * 2018-08-29 2020-03-10 삼성전자주식회사 범프 구조체를 갖는 반도체 소자 및 이를 포함하는 반도체 패키지
US11476200B2 (en) * 2018-12-20 2022-10-18 Nanya Technology Corporation Semiconductor package structure having stacked die structure
US11562983B2 (en) * 2019-06-28 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package having multiple chips integrated therein and manufacturing method thereof
US11508665B2 (en) * 2020-06-23 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with thick RDLs and thin RDLs stacked alternatingly
US20220359468A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20230197496A1 (en) * 2021-12-20 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of elements
WO2023179845A1 (en) * 2022-03-22 2023-09-28 Huawei Digital Power Technologies Co., Ltd. Semiconductor power entity and method for producing such entity by hybrid bonding
US20230307384A1 (en) * 2022-03-23 2023-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with high density of through-silicon vias (tsv)
US20240021571A1 (en) * 2022-07-18 2024-01-18 Applied Materials, Inc. Hybrid bonding of semiconductor structures to advanced substrate panels

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001177010A (ja) * 1999-10-05 2001-06-29 Nec Corp 配線基板、配線基板を有する半導体装置、及び、その製造方法、実装方法
JP2003298232A (ja) * 2002-04-02 2003-10-17 Sony Corp 多層配線基板の製造方法および多層配線基板
WO2015171288A1 (en) * 2014-05-05 2015-11-12 Qualcomm Incorporated Integrated device comprising high density interconnects in inorganic layers and redistribution layers in organic layers
US20160372395A1 (en) * 2015-06-22 2016-12-22 Inotera Memories, Inc. Wafer level package and fabrication method thereof

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253631A (ja) * 2005-02-14 2006-09-21 Fujitsu Ltd 半導体装置及びその製造方法、キャパシタ構造体及びその製造方法
US7621041B2 (en) 2005-07-11 2009-11-24 E. I. Du Pont De Nemours And Company Methods for forming multilayer structures
US7514797B2 (en) 2007-05-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die wafer level packaging
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
US8227902B2 (en) * 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US8674482B2 (en) 2008-11-18 2014-03-18 Hong Kong Applied Science And Technology Research Institute Co. Ltd. Semiconductor chip with through-silicon-via and sidewall pad
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7858441B2 (en) 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
US8749027B2 (en) 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8168529B2 (en) 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US20110186960A1 (en) 2010-02-03 2011-08-04 Albert Wu Techniques and configurations for recessed semiconductor substrates
US9985150B2 (en) 2010-04-07 2018-05-29 Shimadzu Corporation Radiation detector and method of manufacturing the same
US8546188B2 (en) 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8836137B2 (en) * 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
WO2012131999A1 (ja) 2011-03-31 2012-10-04 トヨタ自動車株式会社 ベルト式無段変速機
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US8642385B2 (en) 2011-08-09 2014-02-04 Alpha & Omega Semiconductor, Inc. Wafer level package structure and the fabrication method thereof
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8653626B2 (en) 2012-07-18 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures including a capacitor and methods of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8946884B2 (en) 2013-03-08 2015-02-03 Xilinx, Inc. Substrate-less interposer technology for a stacked silicon interconnect technology (SSIT) product
US9337073B2 (en) * 2013-03-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3D shielding case and methods for forming the same
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US9443796B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9728453B2 (en) 2013-03-15 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding integrated with CMOS processing
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9373434B2 (en) 2013-06-20 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Inductor assembly and method of using same
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
US9633869B2 (en) 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9184128B2 (en) 2013-12-13 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC package and methods of forming the same
US9425150B2 (en) 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
US9583460B2 (en) 2014-02-14 2017-02-28 Qualcomm Incorporated Integrated device comprising stacked dies on redistribution layers
US9735129B2 (en) * 2014-03-21 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US9666520B2 (en) 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9711379B2 (en) 2014-04-30 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. 3D stacked-chip package
US9331021B2 (en) * 2014-04-30 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
US20150340305A1 (en) 2014-05-20 2015-11-26 Freescale Semiconductor, Inc. Stacked die package with redistribution layer
US9385110B2 (en) 2014-06-18 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9425096B2 (en) 2014-07-14 2016-08-23 Qualcomm Incorporated Air gap between tungsten metal lines for interconnects with reduced RC delay
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US9515035B2 (en) 2014-12-19 2016-12-06 International Business Machines Corporation Three-dimensional integrated circuit integration
US10032704B2 (en) * 2015-02-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking by adjusting opening size in pop packages
US9806058B2 (en) 2015-07-02 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package having die structures of different heights and method of forming same
US9666523B2 (en) 2015-07-24 2017-05-30 Nxp Usa, Inc. Semiconductor wafers with through substrate vias and back metal, and methods of fabrication thereof
US11018025B2 (en) 2015-07-31 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
US9691743B2 (en) 2015-09-21 2017-06-27 Nxp Usa, Inc. Localized redistribution layer structure for embedded component package and method
KR101787832B1 (ko) 2015-10-22 2017-10-19 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
US10009992B2 (en) 2015-12-02 2018-06-26 Multek Technologies Limited PCB hybrid redistribution layer
US9893042B2 (en) 2015-12-14 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9818726B2 (en) 2015-12-28 2017-11-14 International Business Machines Corporation Chip stack cooling structure
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
KR102579880B1 (ko) * 2016-05-12 2023-09-18 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
TWM531651U (zh) 2016-05-17 2016-11-01 zhi-xiong Li 無基板中介層及應用彼之半導體裝置
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001177010A (ja) * 1999-10-05 2001-06-29 Nec Corp 配線基板、配線基板を有する半導体装置、及び、その製造方法、実装方法
JP2003298232A (ja) * 2002-04-02 2003-10-17 Sony Corp 多層配線基板の製造方法および多層配線基板
WO2015171288A1 (en) * 2014-05-05 2015-11-12 Qualcomm Incorporated Integrated device comprising high density interconnects in inorganic layers and redistribution layers in organic layers
US20160372395A1 (en) * 2015-06-22 2016-12-22 Inotera Memories, Inc. Wafer level package and fabrication method thereof

Also Published As

Publication number Publication date
US20210082857A1 (en) 2021-03-18
TW201838047A (zh) 2018-10-16
CN108695166A (zh) 2018-10-23
TWI653695B (zh) 2019-03-11
KR102026537B1 (ko) 2019-09-27
CN108695166B (zh) 2021-02-09
US10854568B2 (en) 2020-12-01
US20180294241A1 (en) 2018-10-11
US11610858B2 (en) 2023-03-21

Similar Documents

Publication Publication Date Title
CN108695176B (zh) 封装件及其形成方法
US11527465B2 (en) Packages with Si-substrate-free interposer and method forming same
KR102026537B1 (ko) 실리콘 기판이 없는 인터포저를 구비한 패키지와 그 형성 방법
TWI744411B (zh) 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法
CN113540059B (zh) 封装的半导体器件及其形成方法
CN109148308B (zh) 封装件及其形成方法
KR102114454B1 (ko) 반도체 디바이스 패키지 및 방법
TWI783269B (zh) 封裝、半導體封裝及其形成方法
CN114464576A (zh) 半导体封装件和形成半导体封装件的方法
US20230230909A1 (en) Packages with Si-Substrate-Free Interposer and Method Forming Same
US11769718B2 (en) Packages with Si-substrate-free interposer and method forming same
TW202410216A (zh) 半導體封裝體及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant