CN109148308B - 封装件及其形成方法 - Google Patents

封装件及其形成方法 Download PDF

Info

Publication number
CN109148308B
CN109148308B CN201711274600.7A CN201711274600A CN109148308B CN 109148308 B CN109148308 B CN 109148308B CN 201711274600 A CN201711274600 A CN 201711274600A CN 109148308 B CN109148308 B CN 109148308B
Authority
CN
China
Prior art keywords
device die
forming
substrate
dielectric
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711274600.7A
Other languages
English (en)
Other versions
CN109148308A (zh
Inventor
陈明发
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109148308A publication Critical patent/CN109148308A/zh
Application granted granted Critical
Publication of CN109148308B publication Critical patent/CN109148308B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/46Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids
    • H01L23/473Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids by flowing liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • H01L21/4882Assembly of heatsink parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/46Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids
    • H01L23/467Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids by flowing gases, e.g. air
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/40Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs
    • H01L23/4006Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws
    • H01L2023/4037Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws characterised by thermal path or place of attachment of heatsink
    • H01L2023/4068Heatconductors between device and heatsink, e.g. compliant heat-spreaders, heat-conducting bands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Abstract

一种方法包括将第一器件管芯和第二器件管芯接合至衬底,以及用间隙填充材料填充第一器件管芯和第二器件管芯之间的间隙。间隙填充材料的顶部覆盖第一器件管芯和第二器件管芯。形成通孔以穿过间隙填充材料的顶部。通孔电连接至第一器件管芯和第二器件管芯。该方法还包括使用镶嵌工艺在间隙填充材料上方形成再分布线,以及在再分布线上方形成电连接至再分布线的电连接件。本发明的实施例还涉及封装件及其形成方法。

Description

封装件及其形成方法
技术领域
本发明的实施例涉及封装件及其形成方法。
背景技术
随着更多的器件管芯封装在相同的封装件中以实现更多的功能,集成电路的封装件变得越来越复杂。例如,封装件可以包括接合至相同的中介层的诸如处理器和存储器数据集的多个器件管芯。可以基于半导体衬底形成中介层,其中硅通孔形成在半导体衬底中以互连形成在中介层的相对侧上的部件。模塑料将器件管芯包封在其中。包括中介层和器件管芯的封装件进一步接合至封装衬底。此外,表面安装器件也可以接合至衬底。散热器可以附接至器件管芯的顶面以消散器件管芯中产生的热量。散热器可以具有固定在封装衬底上的裙部(skirt portion)。
发明内容
本发明的实施例提供了一种形成封装件的方法,包括:将第一器件管芯和第二器件管芯接合至衬底;用间隙填充材料填充所述第一器件管芯和所述第二器件管芯之间的间隙,其中,所述间隙填充材料的顶部覆盖所述第一器件管芯和所述第二器件管芯;形成通孔,所述通孔穿过所述间隙填充材料的顶部,其中,所述通孔电连接至所述第一器件管芯和所述第二器件管芯;使用镶嵌工艺在所述间隙填充材料上方形成再分布线;以及在所述再分布线上方形成电连接至所述再分布线的电连接件。
本发明的另一实施例提供了一种形成封装件的方法,包括:将第一器件管芯和第二器件管芯附接至散热器;用介电材料填充所述第一器件管芯和所述第二器件管芯之间的间隙,其中,所述介电材料的的顶部覆盖所述第一器件管芯和所述第二器件管芯;形成穿过所述介电材料的顶部的通孔,其中,所述通孔电连接至所述第一器件管芯和所述第二器件管芯;在所述介电材料上方形成多个介电层;使用双镶嵌工艺在所述多个介电层中形成再分布线;在所述再分布线上方形成电连接至所述再分布线的电连接件;以及实施管芯锯切以割穿所述散热器、所述介电材料和所述多个介电层以形成多个封装件。
本发明的又一实施例提供了一种封装件器件,包括:空白衬底;第一器件管芯和第二器件管芯,接合至所述空白衬底;间隙填充材料,包括:第一部分,填充所述第一器件管芯和所述第二器件管芯之间的间隙;以及第二部分,覆盖所述第一器件管芯和所述第二器件管芯;通孔,穿过所述间隙填充材料的第二部分以电连接至所述第一器件管芯和所述第二器件管芯;多个介电层,位于所述间隙填充材料上方;以及多条再分布线,位于所述多个介电层中,其中,所述多条再分布线包括双镶嵌结构。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A和图1B至图11示出了根据一些实施例的在使用再分布线(RDL)后工艺形成封装件中的中间阶段的截面图。
图12至图13示出了根据一些实施例的使用RDL后工艺形成的一些封装件的截面图。
图14示出了根据一些实施例的封装件中的双镶嵌结构和凸块下金属(UBM)。
图15示出了根据一些实施例的用于形成封装件的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据各个示例性实施例提供了基于再分布线(RDL)后工艺形成的封装件及其形成方法。根据一些实施例,示出了形成封装件的中间阶段。讨论了实施例的一些变化。贯穿各个视图和说明性实施例,相同的数字用于表示相同的元件。
图1A和图1B至图11示出了根据一些实施例的在使用RDL后工艺形成封装件中的中间阶段的截面图。图1A和图1B至图11中示出的步骤也在图15中示出的工艺流程200中示意性地反映。
图1A示出了晶圆10。晶圆10包括块状衬底12,块状衬底12可以是硅衬底、玻璃衬底或金属衬底。晶圆10可以具有典型的半导体晶圆的形状。例如,晶圆10可以具有圆形顶视形状,并且可以具有8英寸直径、12英寸直径等。当由金属形成时,衬底12可以由铜、铝、不锈钢等形成。根据本发明的一些实施例,在晶圆10中不存在有源器件(诸如晶体管和二极管)和无源器件(诸如电容器、电感器和电阻器)。晶圆10具有两个功能。首先,由于随后接合的器件管芯非常薄以具有良好的间隙填充,晶圆10为将在随后的步骤中形成的结构提供机械支撑。而且,衬底12可以具有高导热性,并且因此晶圆10可以用作散热器。
介电层14可以形成在衬底12的表面处。相应的步骤示出为图15中示出的工艺流程中的步骤202。介电层14可以由氧化硅形成,例如,可以通过在含氧环境中氧化衬底12来形成。可选地,通过在水蒸气中氧化衬底12形成介电层14。根据本发明的一些实施例,通过诸如氧化硅(可以由正硅酸乙酯(TEOS))的氧化物、氮氧化硅等的沉积形成介电层14。根据本发明的的一些实施例,在介电层14中形成接合焊盘16。相应的步骤示出为图15中示出的工艺流程中的步骤204。根据本发明的的一些实施例,接合焊盘16的底面可以与介电层14的示出的底面共面。根据本发明的的可选实施例,接合焊盘16延伸至衬底12中,并且使用虚线示出了衬底12中的接合焊盘16的部分以表明接合焊盘16可以或可以不延伸至衬底12中。
为了形成接合焊盘16,通过蚀刻介电层14和衬底12形成沟槽(示出为由接合焊盘16填充),使得沟槽也延伸至介电层14和衬底12中。取决于衬底12的厚度,衬底12内的沟槽的部分的深度D1可以大于约1μm,并且可以介于约2μm和约20μm之间。例如,深度D1可以介于约衬底12的厚度的约20%和约60%之间。应该理解,整个说明书中列举的值是实例,并且可以改变为不同的值。
如图1A所示,然后填充沟槽以形成接合焊盘16。应该理解,虽然部件16称为接合焊盘,但是部件16可以是离散的焊盘或互连金属线。根据一些实施例,接合焊盘16由适合于混合接合的铜或其他金属形成(由于相对容易扩散)。在填充之后,实施平坦化以平坦化接合焊盘16的顶面和介电层14的顶面。平坦化可以包括化学机械抛光(CMP)工艺或机械研磨工艺。
沟槽(以及产生的接合焊盘16)可以以各种图案分布。例如,沟槽可以形成为离散的开口,其可以布置成阵列、蜂窝图案或其他重复图案。沟槽的顶视形状可以是矩形、方形、圆形、六边形等。根据本发明的可选实施例,当从图1A中示出的结构的顶视图中观察时,沟槽可以是在单个方向上延伸的平行沟槽。沟槽也可以互连以形成栅格。栅格可以包括彼此平行并且均匀或不均匀间隔开的多个第一沟槽、以及彼此平行并且均匀或不均匀间隔开的多个第二沟槽。多个第一沟槽和多个第二沟槽彼此截断以形成栅格,并且多个第一沟槽和多个第二沟槽在顶视图中可以或可以不彼此垂直。
根据本发明的可选实施例,在介电层14和衬底12中不形成金属接合焊盘。因此,如图1B所示,衬底12是由均匀材料(半导体、玻璃或金属)形成的空白衬底,并且介电层14是毯状平坦层。
根据本发明的一些实施例,在衬底12中形成微沟槽18。微沟槽18是空隙,其中,诸如油、水、气体等的冷却剂可以在微沟槽18中流动。微沟槽18的形成可以包括蚀刻第一衬底(诸如图1A中的衬底12A)以形成微沟槽,以及用另一衬底(诸如衬底12B)覆盖微沟槽以密封微沟槽18,其中,在衬底12B中形成开口15以连接至微沟槽18。使用虚线示出微沟槽18以表明可以或可以不形成微沟槽18。
参照图2,封装组件20A和20B接合至晶圆10。相应的步骤示出为图15中示出的工艺流程中的步骤206。封装组件20A和20B可以是器件管芯或封装件。根据本发明的一些实施例,封装组件20A和20B包括一个或多个逻辑管芯,其可以选自中央处理单元(CPU)管芯、微控制单元(MCU)管芯、输入输出(IO)管芯、基带(BB)管芯或应用处理器(AP)管芯。封装组件20A和20B也可以包括一个或多个存储器管芯。作为实例,在随后的讨论中,封装组件20A和20B称为器件管芯,然而它们可以是诸如封装件、管芯堆叠件、存储器数据集等的其他类型的器件。而且,虽然封装组件20A和20B示出为具有相同的结构,它们可以具有不同的电路、不同的尺寸、不同的厚度和/或可以包括其中的不同数量的器件管芯。
器件管芯20A和20B分别包括半导体衬底22A和22B,半导体衬底22A和22B可以是硅衬底。而且,器件管芯20A和20B可以分别包括用于连接至器件管芯20A和20B中的有源器件和无源器件的互连结构24A和24B。互连结构24A和24B包括金属线和通孔(未示出)。此外,可以使用具有低于约3.0、低于约2.5或甚至更低的介电常数(k值)的低k介电材料形成介电层,在介电层中形成互连结构24A和24B的金属线和通孔。介电材料可以由Black Diamond(应用材料公司的注册商标)、含碳低k介电材料、氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)等形成。根据本发明的可选实施例,互连结构24A和24B中的介电层由诸如氧化硅或氮氧化硅的氧化物基介电材料形成。
互连结构24A和24B分别包括金属焊盘25A和25B,金属焊盘25A和25B位于互连结构的顶部金属层中。钝化层28A和28B(可选地称为钝化-1)可以分别形成在互连结构24A和24B上面。根据本发明的一些实施例,钝化层28A和28B由诸如氧化硅或氮化硅的无机介电材料形成,并且可以具有单层结构或复合结构。例如,复合结构可以包括氧化硅层和位于氧化硅层上方的氮化硅层。金属焊盘32A和32B分别形成在钝化层28A和28B上方,并且通过分别形成在钝化层28A和28B中的通孔26A和26B连接至下面的器件。
根据本发明的一些实施例,金属焊盘32A和32B由铝或铝铜形成,并且因此有时称为铝焊盘。在金属焊盘32A和32B上方形成钝化层30A和30B(可选地称为钝化-2),可以使用选自用于形成钝化层28A和28B的相同的候选材料的材料形成钝化层30A和30B。
器件管芯20A可以包括位于器件管芯20A的示出的底面处的接合焊盘34和介电层36A。接合焊盘34的示出的底面与介电层36A的示出的底面共面。器件管芯20B可以包括位于示出的底面处的接合焊盘34和介电层36B。接合焊盘34的示出的底面与介电层36B的示出的底面共面。介电层36A/36B和接合焊盘34的形成工艺可以分别类似于介电层14和接合焊盘16的形成。例如,介电层36A和36B可以由氧化硅或诸如氮氧化硅的其他含氧介电材料形成。接合焊盘34的图案和横向尺寸可以与相应的接合焊盘16的图案和横向尺寸相同或类似,接合焊盘34接合至接合焊盘16。有利地,通过接触(或甚至插入)衬底22A和22B,接合焊盘34提供良好的散热路径,使得器件管芯20A和20B中生成的热量可以通过接合焊盘16容易地散发至块状衬底12。
例如,器件管芯20A和20B是薄管芯,厚度介于约15μm和约30μm之间。由于器件管芯20A和20B薄,相邻的器件管芯20A和20B之间的间隙38的高宽比保持较低,以获得良好的间隙填充。否则,由于高的高宽比,间隙填充较难。
可以通过混合接合实现器件管芯20A和20B与下面的结构的接合。例如,接合焊盘34通过金属至金属直接接合而接合至接合焊盘16。根据本发明的一些实施例,金属至金属直接接合是铜至铜直接接合。此外,例如,介电层36A和36B通过Si-O-Si键接合至介电层14。混合接合可以包括预接合和之后的退火,使得接合焊盘34中的金属与相应的下面的接合焊盘16中的金属相互扩散以形成金属至金属直接接合。
根据可选实施例,如图1B所示,在晶圆10中未形成接合焊盘。因此,也没有形成如图2所示的接合焊盘34,并且通过熔融接合(电介质至电介质接合)将器件管芯20A和20B接合至介电层14。
根据本发明的可选实施例,衬底12是玻璃衬底或金属衬底。因此,层14可以由热界面材料(TIM)形成,热界面材料是具有高导热性的粘合剂。器件管芯20A和20B因此通过TIM14粘合至衬底12(参照图12)。根据这些实施例,可以不形成图2中的介电层36A和36B,并且可以或可以不形成接合焊盘34。
接下来,如图3所示,通过间隙填充材料40填充间隙38。相应的步骤示出为图15中示出的工艺流程中的步骤208。根据本发明的一些实施例,间隙填充材料40包括无机电介质,其可以是诸如氧化硅的氧化物基电介质。例如,氧化硅可以由TEOS形成。形成方法可以包括化学气相沉积(CVD)、高密度等离子体化学气相沉积(HDPCVD)等。根据本发明的一些实施例,间隙填充材料40是非聚合物材料,其不包括诸如聚苯并恶唑(PBO)、聚酰亚胺、苯并环丁烯(BCB)等的聚合物。聚合物具有与器件管芯显著不同的热膨胀系数(CTE),并且将导致产生的封装件的翘曲以及形成随后的细间距RDL中的困难。
然后实施平坦化步骤以去除间隙填充材料40的过量部分,使得间隙填充材料40的顶面变得平坦。间隙填充材料40的顶层直接位于器件管芯20A和20B上方。在产生的结构中,间隙填充材料40可以与介电层14的顶面接触,并且环绕器件管芯20A和20B的每个。此外,间隙填充材料40可以与钝化层30A和30B的顶面接触。
根据本发明的一些实施例,在图3中示出的结构中不存在聚合物层(诸如聚酰亚胺、PBO、BCB、模塑料、底部填充物、模制底部填充物等)。例如,器件管芯20A和20B没有聚合物层,并且下面的晶圆10也没有聚合物。因此,图3中示出的结构没有CTE失配问题,CTE失配问题是由于聚合物和硅/氧化硅等之间的显著不同之间的差别引起的。因此,使用用于形成器件晶圆中的互连结构的工艺(诸如镶嵌工艺)和材料(诸如铜和/或低k电介质)在图3中示出的结构上方形成细间距RDL是可行的。
参照图4,蚀刻间隙填充材料40与钝化层30A和30B以形成通孔开口42。根据本发明的一些实施例,金属焊盘32A和32B暴露于通孔开口42。根据本发明的可选实施例,通孔开口42的一些或所有还穿过钝化层28A和28B,使得一些顶部金属焊盘25A和25B暴露于通孔开口42。根据本发明的可选实施例,顶部金属焊盘25A和/或25B暴露于通孔开口42的一些,而金属焊盘32A和/或32B暴露于一些其他通孔开口42。通孔开口42的顶视形状可以并且不限于矩形、圆形、六边形等。
接下来,用导电材料填充通孔开口42以形成通孔44,并且图5中示出了产生的结构。相应的步骤示出为图15中示出的工艺流程中的步骤210。根据本发明的一些实施例,通孔44由均匀的导电材料形成,其可以是包括铜、铝、钨等的金属或金属合金。根据本发明的可选实施例,通孔44具有复合结构,复合结构包括有钛、氮化钛、钽、氮化钽等形成的导电阻挡层以及位于导电阻挡层上方的含金属材料(诸如铜或铜合金)。根据本发明的一些实施例,形成介电隔离层以环绕每个通孔44。根据可选实施例,未形成围绕通孔44的介电隔离层,并且通孔44与间隙填充材料40物理接触。通孔44的形成也包括在通孔开口42(图4)中沉积导电材料,以及实施平坦化以去除位于间隙填充材料40上方的沉积的材料的过量部分。
应该理解,当制造器件管芯20A和20B时,金属焊盘32A和32B可以用于测试(探测)目的。根据本发明的一些实施例,在测试之后,可以不再使用一些金属焊盘32A和32B,并且因此可能不存在位于相应的金属焊盘32A和/或32B上方并且与相应的金属焊盘32A和/或32B接触的任何通孔44。根据这些实施例,顶部金属焊盘25A和/或25B代替用于连接至上面的结构。如图5所示,根据可选实施例,金属焊盘32A和32B的一些或所有用于测试和信号连接,并且因此形成连接至金属焊盘32A和32B的通孔44。通孔44也可以包括连接至金属焊盘32A和/或32B的一些通孔44以及连接至顶部金属焊盘25A和/或25B的其他通孔44。
图6、图7和图8示出了用于形成细间距RDL的示例性工艺。相应的步骤示出为图15中示出的工艺流程中的步骤212。参照图6,形成介电层50A和54A以及蚀刻停止层52A。介电层50A和54A可以由氧化硅、氮氧化硅、氮化硅等或具有小于约3.0的k值的低k介电材料形成。低k介电材料可以包括Black Diamond(应用材料公司的注册商标)、含碳低k介电材料、氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)等。蚀刻停止层52A由相对于介电层50A和54A具有高蚀刻选择性的材料形成,并且可以由碳化硅、碳氮化硅等形成。根据可选实施例,未形成蚀刻停止层52A。因此,使用虚线示出蚀刻停止层52A以表明它可以或可以不形成。
细间距RDL56A形成在介电层52A和54A中用于路由。由于使用镶嵌工艺形成根据本发明的一些实施例的细间距RDL,细间距RDL可以形成为非常薄(顶视图中为窄),细间距(从结构的顶部观察)小于例如0.8μm。根据一些实施例,使用双镶嵌工艺形成细间距RDL56A,该双镶嵌工艺包括蚀刻介电层54A以形成沟槽,以及蚀刻介电层50A和52A以形成通孔开口。然后同时用导电材料填充沟槽和通孔开口。然后实施诸如CMP或机械研磨的平坦化步骤以去除位于介电层54A上方的导电材料的部分。
图14示出了细间距RDL56A的一个的示例性结构的放大视图,细间距RDL56A包括金属线56A1以及位于金属线56A1下面并且连接至金属线56A1的通孔56A2。金属线56A1和通孔56A2的组合包括扩散阻挡层46和位于扩散阻挡层46上方的金属材料48。根据本发明的一些实施例,扩散阻挡层46由钛、氮化钛、钽或氮化钽形成。金属材料48可以由铜或铜合金形成。由于双镶嵌结构,扩散阻挡层46连续地延伸至金属线56A1和通孔56A2。
图7示出了介电层50B和54B以及蚀刻停止层52B的形成。介电层50B和54B的材料可以选自与用于形成介电层50A和54A的候选材料相同的材料,并且蚀刻停止层52B的材料可以选自与用于形成蚀刻停止层52A的候选材料相同的材料。
细间距RDL56B也形成在介电层50B、52B和54B中。细间距RDL56B包括形成在介电层54B中的金属线以及形成在介电层50B和52B中的通孔。该形成可以包括双镶嵌工艺,双镶嵌工艺包括在介电层54B中形成沟槽和在介电层50B和52B中形成通孔开口,填充导电材料,以及然后实施诸如机械研磨或CMP的平坦化。类似地,细间距RDL56B可以由复合材料形成,复合材料包括与图14中示出的类似的扩散阻挡层以及位于扩散阻挡层上方的含铜材料。
图8示出了介电层50C和54C、蚀刻停止层52C和细间距RDL56C的形成。形成方法和材料可以类似于下面的相应的层,并且因此在本文中不再重复。而且,根据一些实施例,可以省略蚀刻停止层52A、52B和52C,并且可以使用时间模式实施用于形成沟槽的相应的蚀刻以控制沟槽的深度。应该理解,可以存在为细间距RDL形成的更多的介电层和金属层。此外,即使可以跳过蚀刻停止层52A、52B和52C的一些或全部,由于细间距RDL所在的介电层在不同的工艺中形成,在用于形成细间距RDL56A、56B和56C的介电层之间可以存在可区分的界面,不论这些介电层由相同的介电材料还是由不同的介电材料形成。在随后的段落中,为了识别的简化,介电层50A、52A、54A、50B、52B、54B、50C、52C和54C共同地和单独地称为介电层58。细间距RDL56A、56B和56C也共同地和单独地称为细间距RDL56。RDL56B和56C可以具有与图14中示出的RDL56A类似的双镶嵌结构。
细间距RDL56A、56B和56C电互连器件管芯20A和20B。由于细间距RDL56A、56B和56C的间距非常小,可以形成更多的细间距RDL56A、56B和56C作为器件管芯20A和20B之间的互连件。这显著改进了细间距RDL的密度和路由能力。
图9和图10示出了钝化层和RDL的形成。相应的步骤示出为图15中示出的工艺流程中的步骤214。参照图9,在介电层58上方形成钝化层60(有时称为钝化-1),其中,通孔64形成在钝化层60中以将细间距RDL56C电连接至上面的金属焊盘。
参照图10,金属焊盘62形成在钝化层60上方,并且通过钝化层60中的通孔64电连接至细间距RDL56C。金属焊盘62可以是铝焊盘或铝-铜焊盘,并且可以使用其他金属材料。
也如图10所示,在钝化层60上方形成钝化层66(有时称为钝化-2)。钝化层60和66的每个可以是单层或复合层,并且可以由无孔材料形成。根据本发明的一些实施例,钝化层60和66的一个或两个是复合层,该复合层包括氧化硅层(未单独示出)和位于氧化硅层上方的氮化硅层(未单独示出)。钝化层60和66也可以由其他无孔介电材料形成,诸如未掺杂的硅酸盐玻璃(USG)、氮氧化硅等。
接下来,如图11所示,图案化钝化层66,使得钝化层66的一些部分覆盖金属焊盘62的边缘部分,并且通过钝化层66中的开口暴露金属焊盘62的中心部分。形成凸块下金属(UBM)68,并且UBM68延伸至钝化层66中。相应的步骤示出为图15中示出的工艺流程中的步骤216。UBM68可以与金属焊盘62接触。根据本发明的一些实施例,UBM68的每个包括阻挡层(未示出)和位于阻挡层上方的晶种层(未示出)。阻挡层可以是钛层、氮化钛层、钽层、氮化钽层或由钛合金或钽合金形成的层。晶种层的材料可以包括铜或铜合金。诸如银、金、铝、钯、镍、镍合金、钨合金、铬、铬合金和它们的组合的其他金属也可以包括在UBM68中。根据本发明的一些实施例,使用物理气相沉积(PVD)或其他适用的方法形成UBM68。
也如图11所示,形成电连接件74。相应的步骤示出为图15中示出的工艺流程中的步骤218。用于UBM68和电连接件74的示例性形成工艺包括沉积毯状UBM层,形成和图案化掩模(掩模可以是光刻胶,未示出),其中毯状UBM层的部分通过掩模中的开口暴露。在形成UBM68之后,将示出的封装件放置在镀溶液(未示出)中,并且实施镀步骤以在UBM68上形成电连接件74。镀可以是电镀、化学镀、浸没镀等。根据本发明的一些示例性实施例,电连接件74包括非焊料部70,非焊料部70在随后的回流工艺中不熔化。非焊料部70可以由铜形成,并且因此此后称为铜凸块70,但是它们可以由其他非焊料材料形成。电连接件74的每个也可以包括选自镍层、镍合金、钯层、金层、银层或它们的多层的覆盖层(未示出)。覆盖层形成在铜凸块70上方。电连接件74还可以包括焊帽72,焊帽72可以由Sn-Ag合金、Sn-Cu合金、Sn-Ag-Cu合金等形成并且可以是无铅的或含铅的。在前述步骤中形成的结构称为复合晶圆76。
对复合晶圆76实施管芯锯切步骤以将复合晶圆76分割成多个封装件78。封装件78彼此相同,并且每个封装件78包括器件管芯20A和20B、一块衬底12和上面的互连结构。
图12示出了根据本发明的一些实施例形成的封装件78。这些实施例类似于图11中示出的实施例,除了在图12中示出的实施例中未形成接合焊盘16和34以及介电层36A/36B(如图11所示)。根据本发明的一些实施例,如图12所示,块状衬底12(也是空白管芯)通过熔融接合或粘合剂接合至介电层14。介电层14的形成已经参照图1B进行讨论。根据本发明的一些实施例,层14是诸如氧化硅的氧化物基介电层,并且层14与衬底12和衬底22A和22B的接合可以是熔融接合。根据本发明的可选实施例,层14是诸如TIM的粘合膜,TIM具有高导热性(例如,高于约1W/mk),并且衬底12可以是玻璃衬底或金属衬底。
图13示出了根据本发明的一些实施例形成的封装件78。这些实施例类似于图11中示出的实施例,除了电连接件74是焊料区(有时称为C4凸块)。可以形成聚合物层以吸收应力。例如,如图13所示,在钝化层66上方形成聚合物层80。聚合物层80可以由聚酰亚胺、PBO、BCB等形成。例如,形成方法可以包括旋涂。聚合物层80可以以可流动形式分配,并且然后固化。图案化聚合物层80以暴露金属焊盘62的中心部分。
接下来,形成钝化后互连件(PPI)84以填充聚合物层80中的开口。PPI84与金属焊盘62的顶面接触。根据本发明的一些实施例,PPI84的形成包括沉积晶种层(未示出),以及然后在晶种层上方镀金属层。晶种层可以包括钛层和位于钛层上方的铜层(均可以是共形层)。可以使用物理气相沉积(PVD)沉积晶种层。位于晶种层上方的镀的导电材料可以包括铜层、金层、或铜层与位于铜层上方的金层。可以使用例如电化学镀(ECP)或化学镀(非E)镀实施镀。
接下来,形成聚合物层82以覆盖PPI84。聚合物层82也可以由聚酰亚胺、PBO、BCB等形成。接下来,形成UBM68,随后放置焊料球,以及然后回流焊料球以形成焊料区74。
图14示出了从图11、图12和图13提取的RDL56A(和具有类似结构的RDL56B和56C)的一个和UBM68的一个的放大图,为了简化,未示出图11、图12和图13中的其他部件。观察到,扩散阻挡层46和UBM68均具有面向相同方向的开口(图14中的向上),并且开口面向电连接件74(图11、图12和图13)。当封装件78(图11、图12和图13)接合至诸如器件管芯、中介层或封装衬底的另一器件时生成应力,并且应力从接点传播至扩散阻挡层46和UBM68。由于扩散阻挡层46和UBM68具有面向应力生成点的开口,扩散阻挡层46和UBM68可以更好地吸收应力,而不将应力向下传递至下面的结构。然而,如果扩散阻挡层46和UBM68的一个具有面向远离电连接件74的开口,扩散阻挡层46和UBM68的相应的一个的应力吸收能力降低。
当具有内置微沟道18时,如图11、图12和图13所示的封装件78可以具有连接至相对端(诸如示出的左端和右端)的管(未示出),并且冷却剂可以传导至微沟道以传导走器件管芯20A和20B中生成的热量。
根据本发明的一些实施例讨论了用于三维(3D)封装的一些示例性工艺和部件。也可以包括其他部件和工艺。例如,可以包括测试结构以辅助3D封装或3DIC器件的验证测试。例如,测试结构可以包括形成在再分布层中或衬底上的测试焊盘,测试焊盘允许3D封装或3DIC的测试、探针和/或探针卡的使用等。可以对互连结构以及最终结构实施验证测试。此外,本文公开的结构和方法可以与测试方法结合使用,这结合已知良好管芯的中间验证以增加良率并且减少成本。
本发明的实施例具有以下有利特征。通过使用常用于硅晶圆的工艺(诸如镶嵌工艺)形成细间距RDL,细间距RDL可以形成为足够薄(窄)以为两个或多个器件管芯的通信提供可能性,所有均通过细间距RDL。在传统工艺中,使用RDL后工艺(在器件接合之后,模制和平坦化)形成细间距RDL是不可行的。已经发现,由于应力,CTE失配引起细间距RDL(如果形成)断裂。根据本发明的一些实施例,在细间距RDL下面不使用聚合物或模塑料。相反,使用诸如氧化硅的氧化物基材料。这显著地减小CTE失配,并且使RDL后工艺成为可能。在封装件中也构建了一些散热机制以更好地散热。
根据本发明的一些实施例,一种方法包括将第一器件管芯和第二器件管芯接合至衬底,以及用间隙填充材料填充第一器件管芯和第二器件管芯之间的间隙。间隙填充材料的顶部覆盖第一器件管芯和第二器件管芯。形成通孔以穿过间隙填充材料的顶部。通孔电连接至第一器件管芯和第二器件管芯。该方法还包括使用镶嵌工艺在间隙填充材料上方形成再分布线,以及在再分布线上方形成电连接至再分布线的电连接件。在方法中,填充间隙包括沉积氧化物。在实施例中,将第一器件管芯和第二器件管芯接合至衬底包括熔融接合。在实施例,该方法包括形成延伸至衬底的第一多个接合焊盘,其中,衬底是空白半导体衬底;以及形成延伸至第一器件管芯和第二器件管芯的半导体衬底的第二多个接合焊盘,其中,该接合还包括通过金属至金属直接接合来接合第一多个接合焊盘和第二多个接合焊盘。在实施例中,形成再分布线包括形成互连第一器件管芯和第二器件管芯的多条金属线和通孔。在实施例中,在衬底和再分布线之间不形成聚合物。在实施例中,该方法包括将间隙填充材料和衬底锯切成相同的封装件。在实施例中,第一器件管芯和第二器件管芯位于相同的封装件中。在实施例中,该方法包括在衬底中形成微沟道,其中,微沟道配置为传导冷却剂。在实施例中,形成通孔包括蚀刻间隙填充材料和第一器件管芯中的钝化层以形成通孔开口,其中,顶部金属焊盘暴露于通孔开口,并且顶部金属焊盘位于第一器件管芯的低k介电层中;以及用导电材料填充通孔开口。
根据本发明的一些实施例,一种方法包括将第一器件管芯和第二器件管芯附接至散热器;用介电材料填充第一器件管芯和第二器件管芯之间的间隙,其中,介电材料的的顶部覆盖第一器件管芯和第二器件管芯;形成穿过介电材料的顶部的通孔,其中,通孔电连接至第一器件管芯和第二器件管芯;在介电材料上方形成多个介电层;使用双镶嵌工艺在多个介电层中形成再分布线;在再分布线上方形成电连接至再分布线的电连接件;以及实施管芯锯切以割穿散热器、介电材料和多个介电层以形成多个封装件。在实施例中,在管芯锯切之后,第一器件管芯和第二器件管芯位于多个封装件中的相同的封装件中。在实施例中,再分布线是间距小于约0.8μm的细间距RDL。在实施例中,散热器包括玻璃衬底或金属衬底,并且第一器件管芯和第二器件管芯通过热界面材料附接至散热器。在实施例中,散热器包括空白块状硅衬底,并且该方法还包括:形成延伸至空白块状硅衬底的第一多个接合焊盘,以及形成延伸至第一器件管芯和第二器件管芯的半导体衬底的第二多个接合焊盘,其中,附接包括混合接合。
根据本发明的一些实施例,一种器件包括:空白衬底;第一器件管芯和第二器件管芯,接合至空白衬底;间隙填充材料包括:第一部分,填充第一器件管芯和第二器件管芯之间的间隙;以及第二部分,覆盖第一器件管芯和第二器件管芯;通孔,穿过间隙填充材料的第二部分以电连接至第一器件管芯和第二器件管芯;多个介电层,位于间隙填充材料上方;以及多条再分布线,位于多个介电层中,其中,多条再分布线包括双镶嵌结构。在实施例中,器件包括双镶嵌结构的一个,双镶嵌结构包括:通孔以及位于通孔上方并且连续地连接至通孔的金属线,其中,通孔和金属线的组合包括:扩散阻挡层,延伸至通孔和金属线;以及含铜材料,位于扩散阻挡层上方。在实施例中,该器件还包括:第一介电层,位于空白衬底的表面上;第二介电层,位于第一器件管芯的表面上,其中,第一介电层通过电介质至电介质接合而接合至第二介电层;第一金属焊盘,位于第一介电层中,以及第二金属焊盘,位于第二介电层中,其中,第一金属焊盘通过金属至金属接合而接合至第二金属焊盘。在实施例中,该器件包括间隙填充材料,为氧化物。在实施例中,该器件在空白衬底和多个介电层之间不存在聚合物。
根据本发明的一些实施例,一种器件包括:散热器;第一氧化物层,位于散热器中;第一器件管芯,位于散热器上方并且通过第一氧化物层接合至散热器;介电间隙填充材料,环绕第一器件管芯;多个低k介电层,位于介电间隙填充材料上方;多条金属线和通孔,位于多个低k介电层中,其中,多条金属线和通孔电连接至第一器件管芯;以及多个焊料区,位于多条金属线和通孔上方并且电连接至多条金属线和通孔。在实施例中,器件包括的多条金属线和通孔包括双镶嵌结构。在实施例中,该器件包括的介电间隙填充材料还包括顶部,与第一器件管芯重叠,并且该器件还包括穿过介电间隙填充材料的顶部的导电通孔以电连接至第一器件管芯。在实施例中,该器件还包括:第二氧化物层,位于散热器上方;以及第二器件管芯,位于散热器上方并且通过第二氧化物层接合至散热器,其中,多条金属线和通孔电互连第一器件管芯和第二器件管芯。在实施例中,该器件还包括:第三氧化物层,位于第一器件管芯的表面上,其中,第三氧化物层接合至第一氧化物层。在实施例中,该器件还包括:第一接合焊盘,延伸至第一氧化物层;以及第二接合焊盘,延伸至第三氧化物层,其中,第一接合焊盘还接合至第二接合焊盘。在实施例中,该器件包括的第一接合焊盘还延伸至散热器。在实施例中,该器件包括的第一器件管芯包括半导体衬底,并且第二接合焊盘还延伸至半导体衬底中。在实施例中,该器件包括的第一接合焊盘和第二接合焊盘的每个形成栅格。
根据本发明的一些实施例,一种器件包括:散热器;第一器件管芯和第二器件管芯,位于散热器上方并且附接至散热器;介电间隙填充材料,将第一器件管芯和第二器件管芯包封在其中;通孔,穿过介电间隙填充材料以电连接至第一器件管芯和第二器件管芯;多条再分布线,位于通孔上方并且电连接至通孔,其中,再分布线包括双镶嵌结构;以及多个电连接件,电连接至多条再分布线。在实施例中,器件包括的散热器包括半导体材料。在实施例中,器件包括的第一器件管芯包括半导体衬底,并且其中,第一器件管芯通过金属焊盘接合至散热器,并且金属焊盘延伸至第一器件管芯的半导体衬底中。在实施例中,器件包括的散热器由金属形成。在实施例中,器件包括的通孔与第一器件管芯的铝焊盘接触。在实施例中,器件包括的通孔的一个与第一器件管芯的顶部金属焊盘接触,并且顶部金属焊盘位于低k介电层中。
根据本发明的一些实施例,一种方法包括将第一器件管芯和第二器件管芯接合至空白衬底;形成氧化物层以填充第一器件管芯和第二器件管芯之间的间隙;在氧化物层上方形成再分布线,其中,第一器件管芯和第二器件管芯通过再分布线电互连;在再分布线上方形成电连接至再分布线的电连接件;以及切穿空白衬底和氧化物层以形成多个封装件,其中,第一器件管芯和第二器件管芯位于多个封装件的一个中。在实施例中,接合包括熔融接合。在实施例中,该接合还包括金属至金属直接接合。在实施例中,通过热界面材料实施接合。在实施例中,空白衬底是半导体衬底,在半导体衬底上未形成有源器件。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种形成封装件的方法,包括:
形成延伸至空白衬底的第一多个接合焊盘;
形成延伸至第一器件管芯和第二器件管芯的半导体衬底的第二多个接合焊盘;
将所述第一器件管芯和所述第二器件管芯分别接合至所述空白衬底,其中,所述接合包括通过金属至金属直接接合来接合所述第一多个接合焊盘和所述第二多个接合焊盘;
用间隙填充材料填充所述第一器件管芯和所述第二器件管芯之间的间隙,其中,所述间隙填充材料的顶部覆盖所述第一器件管芯和所述第二器件管芯;
形成通孔,所述通孔穿过所述间隙填充材料的顶部,其中,所述通孔电连接至所述第一器件管芯和所述第二器件管芯;
使用镶嵌工艺在所述间隙填充材料上方形成再分布线;以及
在所述再分布线上方形成电连接至所述再分布线的电连接件,
其中,所述间隙填充材料是非聚合物。
2.根据权利要求1所述的方法,其中,填充所述间隙包括沉积氧化物。
3.根据权利要求1所述的方法,其中,将所述第一器件管芯和所述第二器件管芯接合至所述空白衬底还包括熔融接合。
4.根据权利要求3所述的方法,其中,所述空白衬底是空白半导体衬底。
5.根据权利要求1所述的方法,其中,形成所述再分布线包括形成互连所述第一器件管芯和所述第二器件管芯的多条金属线和通孔。
6.根据权利要求1所述的方法,其中,所述空白衬底是玻璃或金属衬底。
7.根据权利要求1所述的方法,还包括将所述间隙填充材料和所述空白衬底锯切成相同的封装件。
8.根据权利要求7所述的方法,其中,所述第一器件管芯和所述第二器件管芯位于所述相同的封装件中。
9.根据权利要求1所述的方法,还包括在所述空白衬底中形成微沟道,其中,所述微沟道配置为传导冷却剂。
10.根据权利要求1所述的方法,形成所述通孔包括:
蚀刻所述间隙填充材料和所述第一器件管芯中的钝化层以形成通孔开口,其中,顶部金属焊盘暴露于所述通孔开口,并且所述顶部金属焊盘位于所述第一器件管芯的低k介电层中;以及
用导电材料填充所述通孔开口。
11.一种形成封装件的方法,包括:
形成延伸至空白块状硅衬底的第一多个接合焊盘;以及
形成延伸至第一器件管芯和第二器件管芯的半导体衬底的第二多个接合焊盘;
将所述第一器件管芯和所述第二器件管芯分别附接至所述空白块状硅衬底,其中,所述附接包括通过金属至金属直接接合来接合所述第一多个接合焊盘和所述第二多个接合焊盘;
用介电材料填充所述第一器件管芯和所述第二器件管芯之间的间隙,其中,所述介电材料的顶部覆盖所述第一器件管芯和所述第二器件管芯;
形成穿过所述介电材料的顶部的通孔,其中,所述通孔电连接至所述第一器件管芯和所述第二器件管芯;
在所述介电材料上方形成多个介电层;
使用双镶嵌工艺在所述多个介电层中形成再分布线;
在所述再分布线上方形成电连接至所述再分布线的电连接件;以及
实施管芯锯切以割穿所述空白块状硅衬底、所述介电材料和所述多个介电层以形成多个封装件,
其中,所述介电材料是非聚合物。
12.根据权利要求11所述的方法,在所述管芯锯切之后,所述第一器件管芯和所述第二器件管芯位于所述多个封装件中的相同的封装件中。
13.根据权利要求11所述的方法,其中,所述再分布线是间距小于0.8μm的细间距再分布线。
14.根据权利要求11所述的方法,其中,所述第一器件管芯和所述第二器件管芯还通过熔融接合附接至所述空白块状硅衬底。
15.根据权利要求11所述的方法,其中,所述空白块状硅衬底包括没有有源器件形成在其上的半导体衬底。
16.一种封装件器件,包括:
空白衬底;
第一多个接合焊盘,延伸至所述空白衬底内部;
第一器件管芯和第二器件管芯,分别接合至所述空白衬底;
第二多个接合焊盘,延伸至所述第一器件管芯和所述第二器件管芯的半导体衬底的内部,其中,所述第一器件管芯和所述第二器件管芯通过所述第二多个接合焊盘与所述第一多个接合焊盘的金属至金属直接接合而接合至所述空白衬底;
间隙填充材料,包括:
第一部分,填充所述第一器件管芯和所述第二器件管芯之间的间隙;以及
第二部分,覆盖所述第一器件管芯和所述第二器件管芯;
通孔,穿过所述间隙填充材料的第二部分以电连接至所述第一器件管芯和所述第二器件管芯;
多个介电层,位于所述间隙填充材料上方;以及
多条再分布线,位于所述多个介电层中,其中,所述多条再分布线包括双镶嵌结构,
其中,所述间隙填充材料是非聚合物。
17.根据权利要求16所述的封装件器件,其中,所述双镶嵌结构的一个包括:
通孔和金属线,所述金属线位于所述通孔上方并且连续地连接至所述通孔,其中,所述通孔和所述金属线的组合包括:
扩散阻挡层,延伸至所述通孔和所述金属线;以及
含铜材料,位于所述扩散阻挡层上方。
18.根据权利要求16所述的封装件器件,还包括:
第一介电层,位于所述空白衬底的表面上;
第二介电层,位于所述第一器件管芯的表面上,其中,所述第一介电层通过电介质至电介质接合而接合至所述第二介电层;
其中,所述第一多个接合焊盘位于所述第一介电层中,所述第二多个接合焊盘位于所述第二介电层中。
19.根据权利要求16所述的封装件器件,其中,所述间隙填充材料为氧化物。
20.根据权利要求16所述的封装件器件,其中,所述空白衬底是其中没有形成有源器件的玻璃或金属衬底。
CN201711274600.7A 2017-06-15 2017-12-06 封装件及其形成方法 Active CN109148308B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762520112P 2017-06-15 2017-06-15
US62/520,112 2017-06-15
US15/693,950 2017-09-01
US15/693,950 US10541228B2 (en) 2017-06-15 2017-09-01 Packages formed using RDL-last process

Publications (2)

Publication Number Publication Date
CN109148308A CN109148308A (zh) 2019-01-04
CN109148308B true CN109148308B (zh) 2021-04-27

Family

ID=64658242

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711274600.7A Active CN109148308B (zh) 2017-06-15 2017-12-06 封装件及其形成方法

Country Status (4)

Country Link
US (4) US10541228B2 (zh)
KR (1) KR102165942B1 (zh)
CN (1) CN109148308B (zh)
TW (1) TWI652773B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793243B2 (en) * 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
CN108288616B (zh) 2016-12-14 2023-04-07 成真股份有限公司 芯片封装
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
DE102018124695A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrieren von Passivvorrichtungen in Package-Strukturen
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11502067B2 (en) * 2018-07-26 2022-11-15 Advanced Semiconductor Engineering, Inc. Package structure and method for manufacturing the same
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11177192B2 (en) * 2018-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including heat dissipation structure and fabricating method of the same
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
US10665455B2 (en) * 2018-10-22 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method (and related apparatus) that reduces cycle time for forming large field integrated circuits
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11158607B2 (en) * 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
KR102586072B1 (ko) * 2019-05-21 2023-10-05 삼성전기주식회사 반도체 패키지 및 이를 포함하는 안테나 모듈
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11282766B2 (en) * 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company Package structure
US11152272B2 (en) * 2019-11-13 2021-10-19 Qualcomm Incorporated Die-to-wafer hybrid bonding with forming glass
TWI739655B (zh) * 2019-11-15 2021-09-11 胡迪群 積體基板結構、重佈線結構及其製造方法
US11049791B1 (en) * 2019-12-26 2021-06-29 Intel Corporation Heat spreading layer integrated within a composite IC die structure and methods of forming the same
TWI768294B (zh) * 2019-12-31 2022-06-21 力成科技股份有限公司 封裝結構及其製造方法
US11205607B2 (en) * 2020-01-09 2021-12-21 Nanya Technology Corporation Semiconductor structure and method of manufacturing thereof
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11605620B2 (en) * 2020-06-19 2023-03-14 Qualcomm Incorporated Three-dimensional (3D) integrated circuit with passive elements formed by hybrid bonding
KR20210157781A (ko) 2020-06-22 2021-12-29 삼성전자주식회사 반도체 패키지
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11469186B2 (en) * 2020-07-24 2022-10-11 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method for manufacturing the same
US11410910B2 (en) * 2020-07-30 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device including liquid-cooled lid and methods of forming the same
US11764177B2 (en) * 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11855017B2 (en) 2021-01-14 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11574891B2 (en) * 2021-01-26 2023-02-07 Nanya Technology Corporation Semiconductor device with heat dissipation unit and method for fabricating the same
WO2023179845A1 (en) * 2022-03-22 2023-09-28 Huawei Digital Power Technologies Co., Ltd. Semiconductor power entity and method for producing such entity by hybrid bonding
TWI829426B (zh) * 2022-11-14 2024-01-11 力晶積成電子製造股份有限公司 多層堆疊晶圓接合結構及其製作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101000886A (zh) * 2006-01-13 2007-07-18 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
CN102157402A (zh) * 2011-03-23 2011-08-17 南通富士通微电子股份有限公司 系统级封装方法
CN103975431A (zh) * 2011-11-04 2014-08-06 富士通株式会社 微通道冷却器件、微通道冷却系统以及电子装置
US20160118326A1 (en) * 2014-10-23 2016-04-28 Samsung Electronics Co., Ltd. Method for fabricating fan-out wafer level package and fan-out wafer level package fabricated thereby

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334967A (ja) 2001-05-07 2002-11-22 Sony Corp 3次元半導体チップ
JP4056854B2 (ja) 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
US7538434B2 (en) 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
US20070072338A1 (en) 2005-09-26 2007-03-29 Advanced Chip Engineering Technology Inc. Method for separating package of WLP
JP4395775B2 (ja) * 2005-10-05 2010-01-13 ソニー株式会社 半導体装置及びその製造方法
US7385283B2 (en) 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US8164153B2 (en) * 2009-05-27 2012-04-24 Continental Automotive Systems, Inc. Thin semiconductor device having embedded die support and methods of making the same
US8710639B2 (en) 2010-04-08 2014-04-29 Nec Corporation Semiconductor element-embedded wiring substrate
WO2012126377A1 (en) 2011-03-22 2012-09-27 Nantong Fujitsu Microelectronics Co., Ltd. System-level packaging methods and structures
US8697542B2 (en) 2012-04-12 2014-04-15 The Research Foundation Of State University Of New York Method for thin die-to-wafer bonding
US8975726B2 (en) * 2012-10-11 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. POP structures and methods of forming the same
US9129944B2 (en) 2013-01-18 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US20150214127A1 (en) * 2014-01-24 2015-07-30 Qualcomm Incorporated Integrated device comprising a substrate with aligning trench and/or cooling cavity
US9666520B2 (en) 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
KR101729378B1 (ko) 2014-05-30 2017-04-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 반도체 디바이스 제조 방법
US9847317B2 (en) 2014-07-08 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of packaging semiconductor devices and packaged semiconductor devices
JP2016058415A (ja) 2014-09-05 2016-04-21 日本特殊陶業株式会社 半導体パワーモジュールの製造方法
US9728597B2 (en) 2014-12-04 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure and method for forming the same
US10446522B2 (en) 2015-04-16 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multiple conductive features in semiconductor devices in a same formation process
CN205984953U (zh) 2015-06-26 2017-02-22 Pep创新私人有限公司 半导体封装
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US9524959B1 (en) 2015-11-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming same
US9711458B2 (en) 2015-11-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method for chip package
US11569176B2 (en) * 2017-03-21 2023-01-31 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device and method of manufacturing thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101000886A (zh) * 2006-01-13 2007-07-18 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
CN102157402A (zh) * 2011-03-23 2011-08-17 南通富士通微电子股份有限公司 系统级封装方法
CN103975431A (zh) * 2011-11-04 2014-08-06 富士通株式会社 微通道冷却器件、微通道冷却系统以及电子装置
US20160118326A1 (en) * 2014-10-23 2016-04-28 Samsung Electronics Co., Ltd. Method for fabricating fan-out wafer level package and fan-out wafer level package fabricated thereby

Also Published As

Publication number Publication date
TW201906092A (zh) 2019-02-01
TWI652773B (zh) 2019-03-01
US11670617B2 (en) 2023-06-06
US10541228B2 (en) 2020-01-21
US10651149B2 (en) 2020-05-12
US20180366437A1 (en) 2018-12-20
CN109148308A (zh) 2019-01-04
KR102165942B1 (ko) 2020-10-15
US20200357769A1 (en) 2020-11-12
US20200091113A1 (en) 2020-03-19
US20190096852A1 (en) 2019-03-28
KR20180136870A (ko) 2018-12-26
US10727201B2 (en) 2020-07-28

Similar Documents

Publication Publication Date Title
CN109148308B (zh) 封装件及其形成方法
US10854567B2 (en) 3D packages and methods for forming the same
CN108695176B (zh) 封装件及其形成方法
US11830745B2 (en) 3D packages and methods for forming the same
CN109524314B (zh) 封装件及其形成方法
CN108695166B (zh) 封装件及其形成方法
CN112242367A (zh) 封装件结构及其形成方法
US9190347B2 (en) Die edge contacts for semiconductor devices
US20230230909A1 (en) Packages with Si-Substrate-Free Interposer and Method Forming Same
US20230268317A1 (en) Packages Formed Using RDL-Last Process
TWI791372B (zh) 積體電路結構及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant