KR20180059936A - 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법 - Google Patents

리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법 Download PDF

Info

Publication number
KR20180059936A
KR20180059936A KR1020187012922A KR20187012922A KR20180059936A KR 20180059936 A KR20180059936 A KR 20180059936A KR 1020187012922 A KR1020187012922 A KR 1020187012922A KR 20187012922 A KR20187012922 A KR 20187012922A KR 20180059936 A KR20180059936 A KR 20180059936A
Authority
KR
South Korea
Prior art keywords
temperature
clamp
fluid
lithographic apparatus
chuck
Prior art date
Application number
KR1020187012922A
Other languages
English (en)
Inventor
아드리아뉘스 헨드릭 쿠부츠
레이몬드 빌헬무스 루이 라파레
마이클 레오 넬슨
데르 산던 야코뷔스 코르넬리스 헤라르뒤스 판
마이클 앤드루 치에다
타모 아위테데이크
제프리 오코너
Original Assignee
에이에스엠엘 홀딩 엔.브이.
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이., 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20180059936A publication Critical patent/KR20180059936A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

리소그래피 장치는 물체(402)를 수용하도록 구성된 클램프(406)를 포함한다. 상기 클램프는 제1유체 온도에서 유체를 통과시키도록 구성된 적어도 하나의 채널(408)을 형성한다. 또한, 상기 리소그래피 장치는 상기 클램프에 결합된 척(404)을 포함한다. 상기 척(404)은 상기 척을 상기 클램프로부터 열적으로 절연시키도록 구성된 적어도 하나의 보이드(464)를 형성한다.

Description

리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법
본 발명은 리소그래피 장치의 물체를 유지하는 척 및 클램프, 그리고 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법에 관한 것이다.
본 출원은 2016년 10월 6일자로 출원된 미국 가출원 제62/237,732호 및 2016년 12월 28일자로 출원된 미국 가출원 제62/271,688호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 전체로서 포함된다.
리소그래피 장치는 기판의 타겟부 상에 원하는 패턴을 노광한다. 리소그래피 장치는 예컨대, 집적 회로(IC)를 제조하는데 사용될 수 있다. 이러한 상황에서, 패터닝 디바이스, 예컨대 마스크 또는 레티클은 상기 IC의 개별 층에 대응하는 회로 패턴을 생성하는데 사용될 수 있으며, 이 패턴은 방사선-감응 재료(레지스트)의 층을 갖는 기판(예컨대, 실리콘 웨이퍼) 상의 타겟부(예컨대, 하나 또는 수 개의 다이들의 일부) 상에 이미징될 수 있다. 전형적으로, 단일 기판은 연속적으로 노광되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는 주어진 방향("스캐닝" 방향)으로 이 방향에 평행한 또는 역 평행한 기판을 동기적으로 스캐닝하는 동안에 타겟부 상에 전체 패턴을 한 번에 노광함으로써 각각의 타겟부가 조사되는, 소위 스테퍼, 및 빔을 통해 상기 패턴을 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 상기 패턴을 상기 기판 상에 임프린트(imprint)함으로써 상기 패터닝 디바이스로부터 상기 기판으로 상기 패턴을 전사하는 것도 가능하다.
리소그래피는 IC 및 다른 장치들 및/또는 구조들의 제조에서 중요한 단계 중 하나로서 널리 인식되고 있다. 그러나 리소그래피를 이용하여 만들어진 피처(feature)의 치수가 작아짐에 따라, 리소그래피는 소형 IC 또는 다른 디바이스들 및/또는 구조들이 제조될 수 있게 하는 더욱 중요한 요소가 되고 있다.
패턴 인쇄의 한계들의 이론적인 추정은 방정식 (1)에 나타낸 바와 같이 분해능에 대한 레일리 기준에 의해 주어질 수 있다:
Figure pct00001
(1)
λ는 사용된 상기 방사선의 상기 파장이고, NA는 상기 패턴을 인쇄하는데 사용된 투영 시스템의 개구수이고, k1은 레일리 상수라 불리는 공정 의존적인 조정 인자(factor)이며, CD는 상기 인쇄된 피처의 피처 크기(또는 임계 치수)이다. 방정식 (1)로부터 피처들의 인쇄 가능한 최소 크기의 감소는 3가지 방식들로 얻어질 수 있다: 상기 노광된 파장(λ)을 단축하거나, 상기 개구수(NA)를 증가시킴으로써, 또는 k1의 값을 감소시킴으로써.
노광 파장을 단축하여 상기 인쇄 가능한 최소 크기를 줄이기 위해 극자외선(EUV) 방사선 소스를 사용하는 것이 제안되어 왔다. EUV 방사선은 5 내지 20 nm의 범위, 예컨대 13 내지 14 nm의 범위, 예컨대 6.7 nm 또는 6.8 nm와 같은 5 내지 10 nm의 범위 내의 파장을 갖는 전자기 방사선이다. 가능한 소스들은, 예컨대, 레이저 생성 플라즈마 소스(laser-produced plasma source), 방전 플라즈마 소스(discharge plasma source) 또는 전자 저장 링(electron storage ring)에 의해 제공되는 싱크로트론(synchrotron) 방사선에 기초한 소스를 포함한다.
그러나 이러한 소스들에 의해 생성된 방사선은 EUV 방사선일 뿐만 아니라 상기 소스는 적외선(IR)의 방사선 및 심자외선의(DUV 방사선을 포함하는 다른 파장들에서 방출할 수도 있다. DUV 방사선은 콘트라스트(contrast) 손실을 초래할 수 있으므로 리소그래피 시스템에 해로울 수 있다. 또한 원하지 않는 IR 방사선은 시스템 내의 구성 요소들에 열 손상을 야기할 수 있다. 따라서 스펙트럼 퓨리티 필터(spectral purity filter)를 사용하여 투과된 방사선에서 EUV의 비율을 증가시키고 DUV 및 IR 방사선과 같은 원하지 않는 비 EUV 방사선을 감소시키거나 심지어 제거하는 것이 알려져 있다.
EUV 방사선을 사용하는 리소그래피 장치는 EUV 방사선 빔 경로 또는 적어도 그 실질적인 부분들이 리소그래피 작업 중에 진공 상태로 유지되어야한다는 것을 요구할 수 있다. 상기 리소그래피 장치의 진공 영역들에서, 각각 패터닝 디바이스 테이블의 척 및 또는 기판 테이블과 같은 리소그래피 장치의 구조에 패터닝 디바이스 및/또는 기판과 같은 물체를 클램핑하기 위해 클램프가 사용될 수 있다.
또한, EUV 방사선을 이용하는 리소그래피 장치는 예컨대, 상기 패터닝 디바이스 및/또는 상기 기판의 온도 조절을 필요로 할 수 있다. EUV 방사선 또는 원하지 않는 비 EUV 방사선에 의해 생성된 열은 상기 패터닝 디바이스 및/또는 상기 기판에 의해 흡수된 열 때문에 리소그래피 공정 동안 예컨대 상기 패터닝 디바이스 및/또는 상기 기판에서 변형을 유발할 수 있다. 상기 변형을 줄이기 위해 냉각 유체가 클램프를 통해 순환될 수 있다.
일부 실시예들에서, 리소그래피 장치는 물체를 수용하도록 구성된 클램프를 포함한다. 상기 클램프는 제1유체 온도에서 유체를 통과시키도록 구성된 적어도 하나의 채널을 형성한다. 또한, 상기 리소그래피 장치는 상기 클램프에 결합된 척을 포함한다. 상기 척은 상기 클램프로부터 상기 척을 열적으로 절연시키도록 구성된 적어도 하나의 보이드를 형성한다.
일부 실시예들에서, 상기 적어도 하나의 보이드는 진공 상태에 있다. 다른 실시예들에서, 상기 적어도 하나의 보이드는 유체로 충전된다.
일부 실시예들에서, 상기 적어도 하나의 보이드는 복수 개의 보이드들을 포함한다. 일부 실시예들에서, 상기 척은 상기 적어도 하나의 보이드를 형성하는 복수 개의 버얼들을 포함한다. 상기 척은 상기 클램프에 결합된 제1층 및 상기 적어도 하나의 보이드를 형성하는 복수 개의 버얼들을 형성하는 제2층을 포함할 수 있다.
일부 실시예들에서, 상기 리소그래피 장치는 상기 제1유체의 온도를 변화시키도록 구성된 유체 컨디셔닝 장치를 포함한다. 상기 유체 컨디셔닝 장치는 상기 제1유체의 온도를 제2유체 온도로부터 상기 제1유체 온도로 변화시키도록 구성될 수 있다. 상기 유체 컨디셔닝 장치는 상기 물체가 방사선에 노광될 때에, 상기 제1유체의 온도를 상기 제2유체 온도로부터 상기 제1유체 온도로 변화시키도록 구성될 수 있으며, 상기 제2유체 온도는 상기 제1유체 온도보다 높다. 일부 실시예들에서, 상기 제1유체 온도는 약 -15 ℃ 내지 약 15 ℃ 범위이고, 상기 제2유체 온도는 약 17 ℃ 내지 약 27 ℃ 범위이다. 일부 실시예들에서, 상기 제1유체 온도는 상기 물체가 방사선에 노광될 때에 상기 물체의 목표 평균 온도보다 낮다.
일부 실시예들에서, 상기 물체는 온도의 함수로서 변화하는 열팽창 계수를 갖는 재료를 포함하고, 상기 물체의 재료의 열팽창 계수는 상기 물체의 제로-크로싱 온도에서 대략 0이다. 상기 제1유체 온도는 상기 물체가 방사선에 노광될 때에 상기 물체의 평균 온도가 상기 물체의 제로-크로싱 온도와 대략 동일하도록 될 수 있다. 상기 제1유체 온도는 또한 상기 물체가 방사선에 노광될 때에 상기 물체의 내부 힘들이 상기 물체를 유지하는 상기 클램프의 표면에 수직인 방향으로 실질적으로 대칭이 되도록 할 수 있다. 상기 제1유체 온도는 또한 상기 물체가 방사선에 노광될 때에 상기 물체의 내부 힘들의 합이 거의 0이 되도록 할 수 있다.
일부 실시예들에서, 상기 적어도 하나의 채널은 복수 개의 채널들을 포함하고, 상기 물체는 패터닝 디바이스이다.
일부 실시예들에서, 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법은 물체를 방사선에 노광하는 단계를 포함한다. 상기 방법은 또한 상기 클램프의 온도를 조절하기 위하여 상기 클램프에 의해 형성된 적어도 하나의 채널을 통해 제1유체 온도에서 유체를 통과시키는 단계를 포함한다. 상기 클램프는 상기 클램프로부터 상기 척을 열적으로 절연시키기 위해 구성된 적어도 하나의 보이드를 형성하는 척에 결합된다.
일부 실시예들에서, 상기 방법은 또한 상기 클램프에 의해 형성된 상기 적어도 하나의 채널을 통해 상기 제1유체 온도에서 상기 유체를 통과시키기 이전에, 상기 클램프의 온도를 조절하기 위하여 상기 클램프에 의해 형성된 상기 하나 이상의 채널을 통해 제2유체 온도에서 상기 유체를 통과시키는 단계를 포함한다. 상기 방법은 또한 상기 클램프에 의해 형성된 상기 하나 이상의 채널을 통해 상기 제2유체 온도에서 상기 유체를 통과시킨 이후에, 상기 유체의 제2유체 온도를 상기 유체의 제1유체 온도로 변경하는 단계를 포함한다. 상기 제2유체 온도는 상기 제1유체 온도보다 높다.
일부 실시예들에서, 상기 제1유체 온도는 약 -15 ℃ 내지 약 15 ℃ 범위에 있도록 조절되고, 상기 제2유체 온도는 약 17 ℃ 내지 약 27 ℃ 범위에 있도록 조절된다. 일부 실시예들에서, 상기 제1유체 온도는 약 -8 ℃로 조절되고, 상기 제2유체 온도는 약 22 ℃로 조절된다. 일부 실시예들에서, 상기 제1유체 온도는 상기 물체를 방사선에 노광할 때에 상기 물체의 평균 온도보다 낮게 조절된다.
일부 실시예들에서, 상기 물체는 온도의 함수로서 변화하는 열팽창 계수를 갖는 재료를 포함하고, 상기 물체의 재료의 상기 열팽창 계수는 제로-크로싱 온도에서 약 0이다. 그리고 상기 적어도 하나의 채널을 통해 상기 제1유체 온도에서 상기 유체를 통과시키는 단계는 상기 물체의 재료의 제로-크로싱 온도와 동일한 방사선에 상기 물체를 노광할 때에 상기 물체의 평균 온도를 생성한다.
일부 실시예들에서, 상기 제1유체 온도는 상기 물체가 방사선에 노광될 때에 상기 물체의 내부 힘들이 상기 물체를 유지하는 상기 클램프의 표면에 수직인 방향으로 실질적으로 대칭이 되도록 한다. 일부 실시예들에서, 상기 제1유체 온도는 상기 물체가 방사선에 노광될 때에 상기 물체의 내부 힘들의 합이 거의 0이 되도록 한다. 일부 실시예들에서, 상기 물체는 패터닝 디바이스이다.
상기 실시예들의 추가적 특징들 및 이점들뿐만 아니라 다양한 실시예들의 구조 및 동작이 첨부된 도면들을 참조하여 이하에서 상세하게 설명된다. 본 발명은 본 명세서에 기술된 특정 실시예들에 한정되지 않는다는 것을 유의해야 한다. 이러한 실시예들은 설명의 목적으로만 본 명세서에 제시된다. 추가적인 실시예들은 관련 기술 분야(들)의 당업자에게 본 명세서에 포함된 교시들에 기초하여 명백할 것이다.
본 명세서에 포함되어 명세서의 일부를 형성하는 첨부 도면들은 본 발명을 예시하며, 또한 상세한 설명과 함께 실시예들의 원리들을 설명하고 관련 기술 분야(들)의 당업자가 본 발명을 제작하고 사용할 수 있도록 돕는다.
도 1은 일 실시예에 따른 반사형 리소그래피 장치의 개략도이다.
도 2는 다른 실시예에 따른 반사형 리소그래피 장치의 개략도이다.
도 3은 일 실시예에 따른, 물체를 유지하는 척 및 클램프의 단면도의 개략도이다.
도 4는 일 실시예에 따라, 시간의 함수로서 물체에 입사하는 방사선 빔의 노광 파워를 차트화한 상부 도면 및 시간의 함수로서 클램프의 개별 채널들을 통과하는 냉각 유체의 온도를 차트화한 하부 도면을 도시한다.
도 5는 일 실시예에 따라, 온도의 함수로서 변화하는 재료의 열팽창 계수를 차트화한 도면을 도시한다.
도 6은 일 실시예에 따른, 온도의 함수로서(도 5에 도시된 바와 같은) 온도에 의존적인 열팽창 계수를 갖는 재료를 포함하는 물체의 생성된 내부 힘들을 차트화한 도면을 도시한다.
도 7은 일 실시예에 따라, 시간의 다양한 지점들에서 물체의 노광면에 수직인 방향을 따라 물체 및 클램프의 온도를 도시한다.
도 8은 일 실시예에 따라, 도 5에 도시된 바와 같은 온도에 의존적인 열팽창 계수 및 도 7에 도시된 바와 같은 온도 분포를 갖는 재료를 포함하는 물체의 생성된 내부 힘들을 나타내는 도면을 도시한다.
도 9는 일 실시예에 따라, 도 8에 도시된 바와 같은 온도 분포에 의해 생성된, 상기 생성된 내부 힘들을 개략적으로 나타낸 도 3의 물체를 유지하는 척 및 클램프의 단면도이다.
도 10은 일 실시예에 따라, 시간의 다양한 지점들에서 기판 상에 노광 된 패턴의 미가공 오버레이 오차를 도시한다.
도 11은 다른 실시예에 따라 시간의 다양한 지점들에서 기판 상에 노광된 패턴의 미가공 오버레이 오차를 도시한다.
도 12는 일 실시예에 따라, 펠리클(pellicle)로 도 3의 물체를 유지하는 척 및 클램프의 단면도의 개략도이다.
도 13은 일 실시예에 따라, 시간의 다양한 지점들에서 방사선에 노광된 도 12의 물체의 표면 온도를 도시한다.
도 14는 일 실시예에 따라, 변형되지 않은 상태에서의 물체의 온도보다 높은 제로-크로싱 온도 및 온도에 의존적인 열팽창 계수를 갖는 재료를 포함하는 물체의 생성된 내부 열적 힘들을 나타내는 도면을 도시한다.
도 15는 일 실시예에 따른, 물체를 유지하는 클램프의 단면도의 개략도이다.
도 16은 다른 실시예에 따른, 물체를 유지하는 척 및 클램프의 단면도의 개략도이다.
본 발명의 특징들 및 이점들은 도면들과 관련하여 후술될 상세한 설명으로부터 더욱 명백해질 것이며, 도면들에서 동일한 참조 부호들은 대응하는 요소들을 식별한다. 도면들에서, 동일한 참조 번호들은 일반적으로 동일하고, 기능적으로 유사하고, 그리고/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 처음 나타나는 도면은 해당 참조 번호의 가장 왼쪽의 숫자로 표시된다. 별도의 표시가 없는 한, 본 명세서 전체에 걸쳐 제공된 도면들은 확대(축소)된 도면으로 해석되어서는 안된다.
본 명세서는 본 발명의 특징을 포함하는 하나 이상의 실시예들을 공개한다. 공개된 실시예(들)는 단지 본 발명을 예시할 뿐이다. 본 발명의 범위는 공개된 실시예(들)에 한정되지 않는다. 본 발명은 여기에 첨부된 청구항에 의해 정의된다.
"일 실시예", "실시예", "일부 실시예들", "다른 실시예들", "예시적인 실시예", "예컨대", "예시적인" 등에 대한 명세서 내의 참조부호들 및 기술된 실시예(들)은, 상기 기술된 실시예(들)이 특정 특징, 구조 또는 특성을 포함할 수 있음을 나타내지만, 모든 실시예가 반드시 특정 특징, 구조 또는 특성을 포함하지 않을 수도 있다. 또한, 그러한 문구들은 반드시 동일한 실시예를 지칭하는 것은 아니다. 또한, 명시적으로 기술되던 그렇지 않던, 특정한 특징, 구조 또는 특성이 실시예와 관련하여 설명될 때, 다른 실시예들과 관련하여 그러한 특징, 구조 또는 특성을 달성하는 것이 당업자의 지식 범위 내에 있다는 것이 이해된다.
그러나 이러한 실시예들을 더 상세하게 설명하기 전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 바람직하다.
예시적인 반사형 리소그래피 시스템
도 1은 일 실시예에 따른 소스 컬렉터 모듈(SO)을 포함하는 리소그래피 장치(100)를 개략적으로 도시한다. 상기 장치는: 방사선 빔(B)(예컨대, EUV 방사선)을 조절(condition)하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예컨대, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 상기 패터닝 디바이스를 정확히 위치시키도록 구성된 제1위치 설정 장치(PM)에 연결된 지지 구조체(support structure)(예컨대, 마스크 테이블)(MT); 기판(예컨대, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고 상기 기판을 정확히 위치시키도록 구성된 제2위치 설정 장치(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및 기판(W)의 타겟부(C)(예컨대, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예컨대, 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향(directing), 쉐이핑, 또는 제어하기 위해 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 구성 요소들 또는 이들의 조합과 같은 다양한 유형의 광학 구성 요소들을 포함할 수 있다.
지지 구조체(MT)는 상기 패터닝 디바이스의 방향, 상기 리소그래피 장치의 설계, 및 예컨대 상기 패터닝 디바이스가 진공 환경에서 유지되는지 아닌지와 같은 다른 조건들에 좌우되는 방식으로 상기 패터닝 디바이스를 유지한다. 상기 지지 구조체는 기계적, 진공, 정전기 또는 다른 클램핑 기술을 사용하여 상기 패터닝 디바이스를 유지하기 위해 사용될 수 있다. 상기 지지 구조체는 예컨대 프레임 또는 테이블일 수 있으며, 필요에 따라 고정되거나 이동할 수 있다. 상기 지지 구조체는 상기 패터닝 디바이스가, 예컨대 상기 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다.
상기 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 생성하기 위한 것과 같이 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 상기 방사선 빔에 부여된 패턴은 집적 회로와 같이 상기 타겟부 내에 생성되는 디바이스 내의 특정 기능 층(functional layer)에 해당할 수 있다.
상기 패터닝 디바이스는(도 1의 리소그래피 장치(100)에서와 같이) 반사형이거나 투과형일 수 있다. 상기 패터닝 디바이스들의 예들은 마스크들(masks), 프로그램 가능한 미러 어레이들 및 프로그램 가능한 LCD 패널들을 포함한다. 마스크들은 리소그래피에서 잘 알려져 있으며 바이너리, 교번 위상 이동(alternating phase-shift) 및 감쇠 위상 이동(attenuated phase-shift)과 같은 마스크 유형들은 물론 다양한 하이브리드 마스크 유형들을 포함한다. 프로그램 가능한 미러 어레이들의 예는 입사하는(incoming) 방선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질(tilt) 수 있는 작은 미러들의 매트릭스 배열을 사용한다. 상기 기울어진 미러들은 상기 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
상기 조명 시스템과 마찬가지로, 투영 시스템은, 진공의 사용과 같은 다른 요인들에 대해 또는 사용되는 상기 노광 방사선에 대해 적절하게, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 구성 요소들 또는 이들의 임의의 조합과 같은 다양한 유형들의 광학 구성 요소들을 포함 할 수 있다. 다른 가스들이 너무 많은 방사선을 흡수할 수 있기 때문에 EUV 방사선에 대해 진공을 사용하는 것이 바람직 할 수 있다. 따라서 진공 벽 및 진공 펌프들의 도움으로 전체 빔 경로에 진공 환경을 제공 할 수 있다.
도시된 바와 같이, 상기 리소그래피 장치는(예컨대, 반사 마스크를 채택하는) 반사형이다.
상기 리소그래피 장치는 두 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 두 개 이상의 마스크 테이블들)을 갖는 형태일 수 있다. 그러한 "멀티 스테이지" 기계들에서, 상기 추가 테이블들은 병렬로 사용되거나, 하나 이상의 다른 테이블이 노광을 위해 사용되는 동안 하나 이상의 테이블들 상에서 준비 단계가 수행될 수 있다.
도 1에 도시된 바와 같이, 일루미네이터(IL)는 소스 컬렉터 장치(SO)로부터 극 자외선(EUV) 빔을 수용한다. EUV 방사선을 생성하는 방법은 상기 EUV 범위 내에 하나 이상의 방출 라인들을 갖는 적어도 하나의 원소, 예컨대 크세논(xenon), 리튬 또는 주석을 갖는 플라즈마 상태로 물질을 전환시키는 것을 포함하지만, 반드시 이에 한정되는 것은 아니다. 하나의 그러한 방법에서, 종종 레이저 생성 플라즈마( "LPP")라고 불리는데, 필요한 라인-방출 소자를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료에 레이저 빔을 조사함으로써 상기 필요한 플라즈마가 생성 될 수 있다. 소스 콜렉터 장치(SO)는, 도 1에 도시되지 않은, 여기시키는 상기 레이저 빔을 제공하기 위한 레이저를 포함하는 EUV 방사선 시스템의 일부일 수 있다. 생성된 플라즈마는 상기 소스 콜렉터 장치에 배치된 방사선 콜렉터를 사용하여 수집된 출력 방사선, 예컨대 EUV 방사선을 방출한다. 예컨대, CO2 레이저가 연료 여기를 위해 상기 레이저 빔을 제공하는데 사용될 때, 상기 레이저과 상기 소스 컬렉터 장치는 서로 구분되는 개체(entity)일 수 있다.
그러한 경우에, 상기 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 상기 레이저 빔은, 예컨대, 적절한 지향(directing) 미러들 및/또는 빔 익스팬더(expander)를 포함하는 빔 전달 시스템(beam delivery system)의 도움으로 상기 레이저로부터 상기 소스 수집 장치로 전달된다.
대체로 방전 생성 플라즈마("DPP")라고 지칭되는 다른 방법에서, 상기 EUV 방출 플라즈마는 연료를 기화시키기 위해 전기 방전(electrical discharge)을 사용함으로써 생성된다. 상기 연료는 크세논, 리튬 또는 주석과 같은 요소일 수 있으며, 상기 EUV 범위에서 하나 이상의 방출선들을 갖는다. 상기 전기 방전은, 상기 소스 컬렉터 장치의 일부를 형성할 수 있거나, 또는 상기 소스 컬렉터 장치에 전기적 접속을 통해 연결된 별도의 개체일 수 있는 전원에 의해 발생될 수 있다.
상기 일루미네이터(IL)는 상기 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함 할 수 있다. 일반적으로, 상기 일루미네이터의 퓨필 평면 내의 상기 세기 분포의 적어도 외측 및/또는 내측 반경 크기(일반적으로 각각 σ-외측 및 σ-내측으로 지칭됨)가 조정될 수 있다. 또한, 상기 일루미네이터(IL)는 다면형 필드(facetted field) 및 퓨필(pupil) 미러 장치들과 같은 다양한 다른 구성 요소들을 포함할 수 있다. 상기 일루미네이터는 상기 방사선 빔을 조절하여 그 단면에 원하는 균일성과 세기 분포를 갖도록 하는데 사용될 수 있다.
방사선 빔(B)은 상기 지지 구조체(예컨대, 마스크 테이블)(MT) 상에 유지되는 상기 패터닝 디바이스(예컨대, 마스크)(MA) 상에 입사되고, 상기 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(예컨대, 마스크)(MA)로부터 반사된 후에, 상기 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제2위치 설정 장치(PW) 및 위치 센서(PS2)(예컨대, 간섭계 장치, 선형 엔코더 또는 용량성 센서)의 도움으로, 서로 다른 타겟부들(C)을 상기 방사선 빔(B)의 경로 내에 위치시키기 위해 상기 기판 테이블(WT)은 정확하게 이동될 수 있다. 유사하게, 상기 제1위치 설정 장치(PM) 및 다른 위치 센서(PS1)는 상기 방사선 빔(B)의 경로에 대해 상기 패터닝 디바이스(예컨대, 마스크)(MA)를 정확히 위치시키는 데에 사용될 수 있다. 패터닝 디바이스(예컨대, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
스텝 모드에서, 상기 방사선 빔에 부여된 전체 패턴이 한 번에(즉, 단일 정적 노광(a single static exposure)) 타겟부(C) 상에 투영되는 동안, 상기 지지 구조체(예컨대, 마스크 테이블)(MT) 및 상기 기판 테이블(WT)은 기본적으로 정지 상태로 유지된다. 그 다음, 상기 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
스캔 모드에서, 상기 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안(즉, 단일 동적 노광), 상기 지지 구조체(예컨대, 마스크 테이블)(MT) 및 상기 기판 테이블(WT)이 동기적으로 스캐닝된다. 상기 지지 구조체(예컨대, 마스크 테이블)(MT)에 대한 상기 기판 테이블(WT)의 속도 및 방향은 상기 투영 시스템(PS)의 배율(축소율) 및 이미지 역전 특성에 의해 결정될 수 있다.
또 다른 모드에서, 프로그램 가능 패터닝 디바이스를 지지하여, 상기 지지 구조체(예컨대, 마스크 테이블)(MT)는 기본적으로 정지된 상태로 유지되며, 상기 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 상기 기판 테이블(WT)은 이동되거나 스캔된다. 이 모드에서, 일반적으로 펄스 방사선 소스가 사용되고, 상기 프로그램 가능한 패터닝 디바이스는 상기 기판 테이블(WT)의 각 이동 후에 또는 스캔 동안의 연속적인 방사선 펄스들 사이에서 필요에 따라 갱신된다. 이러한 동작 모드는 상술한 바와 같은 유형의 프로그램 가능한 미러 어레이와 같은 프로그램 가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채용될 수도 있다.
도 2는 상기 소스 콜렉터 장치(SO), 상기 조명 시스템(IL) 및 상기 투영 시스템(PS)을 포함하여, 리소그래피 장치(100)를 더 상세히 도시한다. 상기 소스 컬렉터 장치(SO)는 상기 소스 컬렉터 장치(SO)의 둘러싸는 구조체(220) 내에 진공 환경이 유지될 수 있도록 구성되고 배열된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 매우 뜨거운 플라즈마(210)가 전자기 스펙트럼의 EUV 범위 내에서 방사선을 방출하도록 생성되는 가스 또는 증기, 예컨대 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 상기 매우 뜨거운 플라즈마(210)는 예컨대 적어도 부분적으로 이온화된 플라즈마를 일으키는 전기 방전에 의해 생성된다. Xe, Li, Sn 증기 또는 임의의 다른 적절한 기체 또는 증기의 부분 압력, 예컨대 10 Pa은, 방사선의 효율적인 생성을 위해 요구될 수 있다. 일 실시예에서, 여기된 주석(Sn)의 플라즈마는 EUV 방사선을 생성하도록 제공된다.
상기 뜨거운 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(211)의 개구 내에 또는 뒤쪽에 위치한 오염물 트랩(230)(어떤 경우에는 오염물 장벽 또는 포일 트랩으로도 지칭됨) 또는 부가적인 가스 장벽을 통해, 소스 챔버(211)로부터 컬렉터 챔버(212)에게 전달된다. 상기 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 장벽 또는 가스 장벽과 채널 구조의 조합을 포함할 수 있다. 본 명세서에서 추가로 지시된 오염물 트랩 또는 오염물 배리어(230)는 당업계에 공지된 바와 같이 적어도 하나의 채널 구조를 포함한다.
상기 콜렉터 챔버(212)는 소위 그레이징 입사 콜렉터 일 수 있는 방사선 콜렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 상향 복사 컬렉터 측면(251) 및 하향 방사 콜렉터 측면(252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 가상 소스 지점(virtual source point)(IF)에 포커싱되도록 격자 스펙트럼 필터(240)에서 반사될 수 있다. 상기 가상 소스 지점(IF)은 보통 중간 초점으로 지칭되고, 소스 수집 장치는 상기 중간 초점(IF)이 둘러싸는 구조(220) 내의 개구(219)에 또는 그 근처에 위치하도록 배열된다. 가상 소스 지점(IF)은 방사선 방출 플라즈마(210)의 이미지이다. 격자 스펙트럼 필터(240)는 특히 적외선(IR) 방사선을 억제하는데 사용된다.
이어서, 상기 방사선은 상기 패터닝 디바이스(MA)에서, 상기 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일도 뿐만 아니라 방사선 빔(221)의 원하는 각도 분포를 제공하도록 배치된 다면형 필드 미러 디바이스(222) 및 다면형 퓨필 미러 디바이스(224)를 포함할 수 있는 조명 시스템(IL)을 가로지른다. 상기 지지 구조체(MT)에 의해 유지되는 상기 패터닝 디바이스(MA)에서 상기 방사선 빔(221)의 반사시, 패터닝 된 빔(226)이 형성되고, 그리고 상기 패터닝 된 빔(226)은 반사 요소(228, 230)를 통해 투영 시스템(PS)에 의해 웨이퍼 스테이지 또는 기판 테이블(WT)에 의해 유지되는 기판(W) 상으로 이미징된다.
도시된 것보다 많은 요소들이 일반적으로 조명 광학 유닛(IL) 및 투영 시스템(PS)에 존재할 수 있다. 상기 격자 스펙트럼 필터(240)는 리소그래피 장치의 유형에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 도시된 것보다 많은 미러들이 존재할 수 있으며, 예컨대, 도 2에 도시된 투영 시스템(PS) 내에 1-6 개의 추가적인 반사 요소들이 존재할 수 있다.
콜렉터 광학기(CO)는, 도 2에 도시된 바와 같이, 콜렉터(또는 콜렉터 미러)의 예와 같이, 그레이징 입사 반사기(253, 254 및 255)를 갖는 내포형 콜렉터로서 도시되어 있다. 상기 그레이징 입사 반사기(253, 254 및 255)는 광학 축(O) 둘레로 축 대칭으로 배치되고, 이러한 유형의 컬렉터 광학기(CO)는 바람직하게는 DPP 소스라고 불리는 방전 생성 플라즈마 소스와 조합하여 사용된다.
물체 지지부 예시적인 실시예들
일부 실시예들에서, 리소그래피 장치의 지지부는 물체를 유지하고 상기 물체의 온도를 제어하도록 구성된다. 상기 지지부는, 도 1 및 2에 기술된 패터닝 디바이스(MA)를 지지하도록 구성된 지지 구조체(MT) 또는 기판(W)을 유지하도록 구성된 기판 테이블(WT)일 수 있다. 상기 물체는, 예컨대 상술한 바와 같은 마스크 또는 레티클과 같은 패터닝 디바이스일 수 있거나, 또는 상기 물체는, 예컨대 상술한 바와 같은 웨이퍼와 같은 기판일 수 있다. 상기 물체가 패터닝 디바이스인 일부 실시예에서, 패터닝 디바이스는 패터닝 디바이스의 표면 상에 입사하는 방사선 빔 상에 패턴을 부여한다. 상기 물체가 상기 클램프에 클램핑되면, 상기 물체의 표면은 방사선 빔을 수용한다. 상기 물체가 입사하는 방사선 빔으로 노광될 때, 상기 물체는 상기 방사선 빔으로부터의 파워를 흡수하여 가열할 수 있다. 상기 물체가 가열되면 상기 물체의 일부가 팽창하여 변형될 수 있다. 일부 실시예들에서, 물체의 변형을 방지 또는 감소시키기 위해, 상기 지지부는 다양한 실시예들에 따라 실질적으로 실온(예컨대, 약 22 ℃) 또는 소정의 다른 소정의 작동 온도로 유지될 물체를 조절하도록 구성될 수 있다. 상기 클램프는 히트 싱크(heat sink)의 역할을 하도록 구성되며, 상기 클램프는 상기 물체의 온도 제어를 달성하기 위해 상기 물체의 목표 평균 온도보다 낮은 온도로 유지되도록 구성될 수 있다. 일부 실시예들에서, 상기 클램프는 클램프에 의해 형성된 적어도 하나의 채널을 통해 목표 온도로 조절된 유체를 통과시킴으로써 물체의 상기 목표 온도보다 낮은 온도로 유지된다. 그러나 시간이 지남에 따라, 적어도 하나의 채널을 통과하는 유체의 냉각 파워는 척을 냉각시켜, 상기 척의 변형을 일으키고 그 결과 상기 클램프와 상기 물체의 변형을 유도한다. 상기 물체가 반사면을 갖는 패터닝 디바이스인 실시예에서, 상기 반사면의 일부들이 변형되어, 기판 웨이퍼에서 원하지 않는 이미지 왜곡을 일으킬 수 있다. 또한, 상기 물체의 변형은 상기 척과 상기 물체 사이의 미끄러짐을 유발할 수 있다. 더욱이, 척 변형들은 그 자체로 척 위치 오차들을 야기하는 척 계측의 변화로 이어질 수 있으며, 결과적으로, 상기 기판 웨이퍼에서의 이미지 오버레이 오차들을 유발할 수 있다.
상기 척의 변형에 기인한 척 위치 설정 에러들뿐만 아니라 상기 클램핑된 물체의 이러한 변형을 제거 또는 감소시키기 위해, 리소그래피 장치의 물체를 유지하도록 구성된 지지체는 일 실시예에서 (1) 목표 온도에서 척을 조절하기 위해 유체를 통과시키도록 구성된 적어도 하나의 채널 및 (2) 목표 온도들에서 상기 물체를 조절하기 위해 유체를 통과시키도록 구성된 적어도 하나의 개별 채널 모두를 갖는 클램프를 포함한다. 도 3은 이러한 일 실시예에 따른 리소그래피 장치의 일부의 단면도를 도시한다.
도 3은 물체(402)의 온도를 유지하고 제어하고 물체(402) 및 척(404)의 온도를 제어하도록 구성된 지지체(400)를 도시한다. 도 1 내지 도 2에 도시된 바와 같이 지지체(400)는 기판(W)을 유지하도록 구성된 기판 테이블(WT)일 수 있으며, 또는 패터닝 디바이스(MA)를 유지하도록 구성된 지지 구조체(MT)일 수 있다. 따라서 물체(402)는 예컨대 상술한 바와 같은 마스크 또는 레티클과 같은 패터닝 디바이스(MA)일 수 있거나, 또는 물체(402)는 예컨대 상술한 바와 같이 웨이퍼와 같은 기판(W)일 수 있다. 물체(402)가 패터닝 디바이스인 일부 실시예들에서, 패터닝 디바이스(402)는 방사선(403)의 입사 빔 상에 패턴을 부여한다.
일부 실시예들에서, 지지체(400)는 척(404) 및 척(404)에 결합된 클램프(406)를 포함한다. 예컨대, 클램프(406)는 척(404)에 결합될 수 있다. 클램프(406)는 물체(402)가 척(404)과 함께 이동하도록 물체(402)를 척(404)에 선택적으로 결합하도록 구성된다. 일부 실시예들에서, 클램프(406)는 정전기 클램프이다. 예컨대, 클램프(406)는 물체(402)를 제 위치에 고정시키기 위해 정전기장을 생성하도록 구성될 수 있다. 이러한 정전기의 실시예들에서, 클램프(406)는 이 정전기장을 생성하는 전극들(도시하지 않음)을 포함할 수 있다.
클램프(406)는 물체(402)(예컨대, 기판(W) 또는 패터닝 디바이스(MA))를 수용하도록 구성된 장착 표면(mounting surface)(416)을 형성한다. 일부 실시예들에서, 장착 표면(416)은 도 6에 도시된 바와 같이 평면이다. 다른 실시예들(도시되지 않음)에서, 장착 표면(416)은 비평면이다. 예컨대, 장착 표면(416)은 클램프(406)의 클램핑 동작 중에 물체(402)와 접촉하도록 구성된 돌출형 버얼들을 가질 수 있다.
일부 실시예들에서, 클램프(406)는 도 3에 도시된 바와 같이 단일 층으로 만들어진다. 다른 실시예들(도시되지 않음)에서, 클램프(406)는 복수 개의 층들로 이루어질 수 있다.
일부 실시예들에서, 클램프(406)는 상술한 바와 같이 클램프(406)의 작동 중에 정전기장을 지지하도록 구성된 하나 이상의 유전체 재료들로 구성된다. 일부 실시예들에서, 상기 유전체 재료들은 0 또는 실질적으로 0인 초저 열팽창 계수들을 가질 수 있다. 초저 팽창 계수들을 갖는 재료들은 초저 팽창 실리콘 기반의 재료들(예: Corning에서 제조된 ULE® 유리), 유리 재료들, 세라믹 재료들, 실리콘 기반의 유리 세라믹 재료들(예: SCHOTT에 의해 제조된 ZERODUR® 유리 세라믹) 또는 이들의 조합을 포함하며, 이에 제한되지 않는다. 초저 열팽창 계수들을 갖는 재료들을 사용하는 것은 클램핑 동작 중에 물체(402)로 전달될 수 있는 클램프(406)의 열 응력(thermal stress)을 감소시키는 것을 도울 수 있다.
일부 실시예들에서, 클램프(406)는 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 도 5는 일 실시예에 따른 온도의 함수로서 그러한 재료 중 하나의 상기 열팽창 계수를 도시한다. 도 5를 참조하면, 상기 열팽창 계수가 대략 0인 온도는 제로-크로싱 온도(TZC)로 불린다. 이 기능이 도 5에 도시된 바와 같이 실질적으로 선형이면, 도 6에 도시된 바와 같이, 클램프(406) 내에서 발생된 내부 힘들은 온도의 2차 다항식 함수가 될 것이며, 도 6은 온도의 함수로서 상기 재료의 팽창으로 인한 클램프(406)의 내부 열적 힘들(F)의 예시적인 다이어그램을 나타낸다. 도 6에 도시된 바와 같이, 상기 재료의 온도가 상기 재료의 상기 제로-크로싱 온도(TZC)와 거의 동일한 경우, 클램프(406) 내에서 상기 생성된 내부 열적 힘들은 대략 0이다. F = 0은 상기 재료가 구성하는 각각의 성분(component), 예컨대 물체(402) 또는 클램프(406)의 변형되지 않은 상태(undeformed state)(원하는 또는 교정된 형상)에 대해 정의된다. 도 6의 실시예에서, 상기 변형되지 않은 상태에서의 성분, 예컨대, 물체(402) 또는 클램프(406)의 온도는 상기 성분을 구성하는 상기 재료의 상기 제로-크로싱 온도와 거의 동일하다. 상기 재료의 온도가 상기 제로-크로싱 온도(TZC)로부터 멀어짐에 따라, 클램프(406)의 상기 생성된 내부 힘들은 상기 제로-크로싱 온도(TZC)를 가로지르는 수직축에 대해 대칭인 2차 방식(quadratic fashion)으로 증가한다.
일부 실시예들에서, 클램프(406)는 온도의 함수로서 변화하고 상기 리소그래피 장치의 임의의 다른 목표 작동 온도(target operating temperature) 또는 클램프(406)의 변형되지 않은 온도(예컨대, 실온 또는 약 22 ℃)로부터 실질적으로 벗어난 제로-크로싱 온도를 갖는 열팽창 계수를 갖는 재료로 구성된다. 다른 실시들예에서, 클램프(406)는 온도의 함수로서 변화하고 거의 실온보다 낮거나 또는 더 높은 제로-크로싱 온도(예컨대, 약 22 ℃ 보다 낮거나 또는 더 높은)를 갖는 열팽창 계수를 갖는 재료로 구성된다. 일부 실시예들에서, 클램프(406)는 온도의 함수로서 변화하고 물체(402)(일부 실시예들에서 온도의 함수로서 변화하는 열팽창 계수를 갖는 재료로 구성될 수도 있는)를 구성하는 재료의 제로-크로싱 온도보다 낮은 제로-크로싱 온도를 갖는 열팽창 계수를 갖는 상기 재료로 구성된다.
도 3에 도시된 바와 같이, 일부 실시예들에서 클램프(406)는 약 4mm 내지 약 12mm 범위의 두께(즉, 장착 표면(416)에 수직인 방향에서)를 갖는다. 예컨대, 일부 실시예들에서, 클램프(406)는 약 8mm의 두께를 갖는다. 일부 실시예들에서, 클램프(406)는 물체(402)의 두께보다 큰 두께를 갖는다.
일부 실시예들에서, 물체(402)는 0 또는 실질적으로 0인 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 초저 팽창 계수들을 갖는 재료들은 초저 팽창 실리콘 기반의 재료들(예: Corning에서 제조된 ULE® 유리), 유리 재료들, 세라믹 재료들, 실리콘 기반의 유리 세라믹 재료들(예: SCHOTT에 의해 제조된 ZERODUR® 유리 세라믹) 또는 이들의 조합을 포함하며, 이에 제한되지 않는다.
일부 실시예들에서, 물체(402)는 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 다시, 도 5는 일 실시예에 따른 온도의 함수로서 그러한 재료 중 하나의 열팽창 계수를 도시한다. 도 5를 참조하면, 상기 열팽창 계수가 약 0인 온도는 상기 제로-크로싱 온도(TZC)로 불린다. 이 기능이 도 5에 도시된 바와 같이 실질적으로 선형이면, 도 6에 도시된 바와 같이, 물체(402) 내에 생성된 내부 열적 힘은 온도의 2차 다항식 함수로서 실질적으로 변화할 것이다. 일부 실시예들에서, 물체(402)는 온도의 함수로서 변화하고 상기 리소그래피 장치의 임의의 다른 목표 작동 온도 또는 거의 실온(예컨대, 약 22 ℃)과 동일한 제로-크로싱 온도를 갖는 열팽창 계수를 갖는 재료로 구성된다. 다른 실시예들에서, 물체(402)는 온도의 함수로서 변화하고 거의 실온보다 낮거나 또는 더 높은 제로-크로싱 온도(예컨대, 약 22 ℃ 보다 낮거나 또는 더 높은)를 갖는 열팽창 계수를 갖는 재료로 구성된다. 일부 실시예들에서, 물체(402)는 온도의 함수로서 변화하고 클램프(406)(상술한 바와 같이 일부 실시예들에서 온도의 함수로서 변화하는 열 팽창 계수를 갖는 재료로 구성될 수도 있는)를 구성하는 재료의 제로-크로싱 온도보다 큰 제로-크로싱 온도를 갖는 열팽창 계수를 갖는 상기 재료로 구성된다. 상기 변형되지 않은 상태의 물체(402)의 온도가 상기 물체(402)를 구성하는 상기 재료의 제로-크로싱 온도와 거의 동일한 경우의 도 6을 참조하면, 물체(402)의 일부의 온도가 상기 제로-크로싱 온도(TZC)와 거의 동일하면, 그 지점에서 물체(402) 내에서 생성된 내부 힘들은 약 0이다. 물체(402)의 일부분의 온도가 상기 재료의 제로-크로싱 온도(TZC)로부터 멀어짐에 따라, 물체(402)의 상기 생성된 내부 힘들은 상기 제로-크로싱 온도(TZC)를 가로지르는 수직축에 대해 대칭인 2차 방식으로 증가한다.
다른 실시예에서, 물체(402)는 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 재료로 구성되고, 상기 재료의 제로-크로싱 온도는 상기 변형되지 않은 상태(원하는 또는 교정된 형상)에서의 물체(402)의 온도보다 높다. 예컨대, 물체(402)를 구성하는 상기 재료의 제로-크로싱 온도는 약 30 ℃ 일 수 있고, 상기 변형되지 않은 상태에서의 물체(402)의 온도는 약 20 ℃ 일 수 있다. 도 14는 그러한 일 실시예에 따른 온도의 함수로서 상기 재료의 팽창으로 인해 물체(402) 내에 생성된 내부 힘들을 도시한다.
일부 실시예들에서, 물체(402)는 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성되고, 클램프(406)는 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 일부 실시예들에서, 물체(402)를 구성하는 상기 하나 이상의 재료들의 제로-크로싱 온도는 클램프(406)를 구성하는 상기 하나 이상의 재료들의 제로-크로싱 온도보다 높다. 예컨대, 일부 실시예들에서, 물체(402)를 구성하는 상기 재료(들)의 제로-크로싱 온도는 약 22 ℃이고, 클램프(406)를 구성하는 상기 재료(들)의 제로-크로싱 온도는 약 8 ℃이다. 다른 실시예들에서, 물체(402)를 구성하는 하나 이상의 재료들의 제로-크로싱 온도는 클램프(406)를 구성하는 하나 이상의 재료들의 제로-크로싱 온도보다 낮다. 또 다른 실시예들에서, 물체(402)를 구성하는 하나 이상의 재료들의 제로-크로싱 온도는 클램프(406)를 구성하는 상기 하나 이상의 재료들의 재료- 크로싱 온도와 거의 같다.
물체(402)가 클램프(406)에 클램핑될 때, 물체(402)의 표면(412)은 클램프(406)의 장착 표면(416)에 인접하며, 물체(402)의 표면(412)에 대향하는 표면(414)은 클램프(406) 및 척(404)으로부터 떨어져 있다. 물체(402)의 표면(414)은 방사선 빔(403)을 수용한다. 물체(402)가 입사 방사선 빔(403)에 노광될 때, 물체(402)는 방사선 빔(403)으로부터의 파워를 흡수하여 가열 할 수 있다. 예컨대, 방사선 빔(403)은 물체(402)에서의 상기 흡수 파워가 예컨대 3-500 Watts(28 Watts 또는 80 Watts와 같은) 일 수 있는 목표 와트를 전달할 수 있다. 일부 실시예들에서, 방사선 빔(403)은 상술한 투영 시스템(PS) 및/또는 리소그래피 장치(100)의 다른 시스템들로부터 그들의 동작 동안 나온 것이다.
방사선 빔(403)으로부터의 열의 흡수로 인한 물체(402)의 변형을 방지 또는 감소시키기 위해, 다양한 실시예들에 따라, 지지체(400)는 실질적으로 실온(예컨대, 약 22 ℃) 또는 임의의 다른 규정된 작동 온도로 유지되도록 물체(402)를 조절하도록 구성될 수 있으며, 실질적으로 실온(예컨대, 약 22 ℃) 또는 임의의 다른 규정된 작동 온도로 유지되도록 척(404)을 조절하도록 구성될 수 있다. 일 실시예들에서, 클램(406)는 물체(402)를 위한 히트 싱크(heat sink)로서 작용하도록 구성된다. 예컨대, 물체(402)를 수용하는 클램프(406)의 일부분은 물체(402)의 이러한 온도 제어를 달성하기 위해 물체(402)의 목표 평균 온도(예컨대, 약 22 ℃ 미만)보다 낮은 온도로 유지되도록 구성될 수 있다. 예컨대, 물체(402)의 목표 평균 온도가 약 22 ℃(예컨대, 물체(402)를 구성하는 상기 재료의 제로-크로싱 온도 부근) 인 경우, 예컨대, 장착 표면(416)을 갖는 클램프(406)의 일부분과 같은 물체(402)를 수용하는 클램프(406)의 일부분은 약 22 ℃ 미만, 예컨대 약 -8 ℃의 온도로 유지될 수 있다. 클램프(406)와 물체(402) 사이의 접촉(예컨대, 클램프(406)의 장착 표면(416)과 물체(402)의 표면(412) 사이의 접촉)을 통해 열이 물체(402)로부터 클램프(406)로 전달될 수 있다.
일부 실시들예에서, 물체(402)를 수용하는 클램프(406)의 일부분은 클램프(406)의 수용부의 온도를 조절하는 클램프(406)에 의해 형성된 적어도 하나의 채널(408)을 통해 유체를 통과시킴으로써 물체(402)의 목표 평균 온도보다 낮은 온도로 유지된다. 도 3에 도시된 바와 같이, 클램프(406)는 일부 실시예들에서 복수 개의 채널들(408)을 형성할 수 있다. 다른 실시예들에서(도시되지 않음), 클램프(406)는 단일 채널(408)을 형성한다. 채널들(408)은 상기 조절된 유체를 클램프(406)를 통해 순환시키도록 구성된다. 일부 실시예들에서, 채널들(408)을 통해 유체를 통과시키는 것은 물체(402)를 수용하는 클램프(406)의 일부분을 물체(402)의 목표 평균 온도보다 낮은 실질적으로 일정한 온도로 유지시킨다. 따라서, 클램프(406)는 물체(402)로부터의 열을 연속적으로 제거한다. 채널들(408)은 일부 실시예들에서 클램프(406)의 장착 표면(416)에 평행하게 연장되도록 구성될 수 있다. 일부 실시예들에서, 상기 유체(즉, 액체 또는 기체)는 물, 공기, 알코올, 글리콜, 상 변화 냉각제(예컨대, 프레온, 이산화탄소), 또는 이들의 조합이다.
일부 실시예들에서, 지지체(400)는 채널들(408)을 통해 클램프(406)에 들어가기 전에 유체의 특성, 예컨대 온도를 조절하는 채널들(408)에 결합되는 유체 컨디셔닝 장치(410)를 포함한다. 일부 실시예들에서, 유체 컨디셔닝 장치(410)는 펠티어 냉각기(Peltier cooler) 또는 임의의 다른 적합한 열전기 냉각 장치와 같은 하나 이상의 열전기 냉각 장치들을 포함한다. 다른 실시예들에서, 유체 컨디셔닝 장치(410)는 쉘 및 튜브형 열교환기(shell and tube heat exchanger), 플레이트형 열교환기(plate heat exchanger) 또는 임의의 다른 적절한 열교환기와 같은 하나 이상의 열교환기들을 포함한다. 일부 실시예들에서, 유체 컨디셔닝 장치(410)는 하나 이상의 열전기 냉각 장치들과 하나 이상의 열 교환기들의 조합을 포함한다.
일부 실시예들에서, 채널들(408)을 통과하는 유체는 재순환된다. 예컨대, 상기 유체는 채널들(408) 및 클램프(406)를 빠져 나가고 채널들(408)을 통해 클램프(406)에 들어가기 전에 하나 이상의 관(duct)들을 통해 유체 컨디셔닝 장치(410)로 다시 라우팅된다. 다른 실시예들에서, 채널들(408)을 통과하는 상기 유체는 재순환되지 않으며, 유체 컨디셔닝 장치(410)로부터의 상류의 유체 공급원으로부터 배출된다.
일부 실시예들에서, 유체 컨디셔닝 장치(410)의 냉각력은, 예컨대 제어기(413)로부터 수신된 제어 신호에 기초하여 조정 가능하다. 이러한 실시예들에서, 클램프(406) 내의 채널들(408)로 들어가는 유체의 온도는 선택적으로 조정될 수 있다. 채널들(408)로 진입하는 유체의 온도를 선택적으로 조절하는 것은 클램프(406)의 표면(416)의 온도를 변화시키고, 결과적으로 물체(402)의 표면(412)의 온도를 변화시킨다. 예컨대, 도 4는 일 실시예에 따라 채널들(408)로 진입하는 유체의 온도 조절 가능한 제어를 도시한다. 예컨대, 도 3과 도 4를 함께 참조하면, 제어기(413)는 유체 컨디셔닝 장치(410)에 제어 신호를 전송할 수 있다. 제1 시간(t1)에서, 유체 컨디셔닝 장치(410)는 채널들(408)에 진입하는 유체의 온도(T1)를 제1 온도로 조절한다. 이후 그 다음의 시간(t2)에서, 제어기(413)는 시간(t2)에서 유체 컨디셔닝 장치(410)가 상기 제1 온도와 서로 다른 제2 온도를 갖는 채널들(408)로 진입하는 유체의 온도(T2)를 조절하도록 유체 컨디셔닝 장치(410)에 제어 신호를 전송할 수 있다. 일부 실시예들에서, 채널들(408)에 진입하는 유체의 제2 온도는 도 4에 도시된 바와 같이 채널들(408)로 진입하는 유체의 제1 온도보다 낮다. 예컨대, 시간(t1)에서 채널들(408)에 진입하는 유체의 제1 온도는 대략 실온(예컨대, 약 22 ℃) 일 수 있고, 시간(t2)에서 채널들(408)로 진입하는 유체의 제2 온도는 예컨대, 약 -8 ℃일 수 있다. 일부 실시예들에서, 시간(t1)에서 채널들(408)에 진입하는 유체의 제1 온도는 약 17 ℃ 내지 약 27 ℃ 범위 내에 있을 수 있으며, 예컨대 약 22 ℃이다. 일부 실시예들에서, 시간(t2)에서 채널들(408)로 들어가는 유체의 제2 온도는 약 -15 ℃ 내지 약 15 ℃ 범위 내에 있을 수 있으며, 예컨대, 약 -8 ℃ 또는 2 ℃이다.
일부 실시예들에서, 시간(t2)에서 채널들(408)에 진입하는 유체의 제2 온도는 물체(402) 장치가 방사선 빔(403)으로 노광될 때 물체(402)의 목표 평균 온도(예컨대, 물체(402)를 구성하는 재료의 제로-크로싱 온도 정도 또는 약 22 ℃ 정도)보다 낮다.
(도 4에 도시되지 않은) 일부 실시예들에서, 시간(t2)에서 채널들(408)에 진입하는 유체의 제2 온도는 시간(t1)에서 채널들(408)에 진입하는 유체의 제1 온도보다 높다.
일부 실시예들에서, 도 4에 도시된 바와 같이 채널들(408)에 진입하는 유체의 온도가 제1 온도에서 제2 온도로 조절되는 시간(t2)은 물체(402)가 방사선 빔(403)으로 노광됨으로 인해 가열 파워(heating power)에 영향을 받게 되는 시간과 일치한다. (도 4에 도시되지 않은) 다른 실시예들에서, 시간(t2)은 물체(402)가 도 4에 도시된 바와 같이 방사선 빔(403)으로 노광됨으로 인해 가열 파워에 영향을 받게 되는 시간과 일치하지 않는다.
일부 실시예들에서, 시간(t2)에서 클램프(406)의 채널들(408)에 진입하는 유체의 제2 온도는 물체(402)를 구성하는 재료의 제로-크로싱 온도와 거의 동일한 평균 온도를 갖도록 상기 클램프(406)가 물체(402)를 조절하는 온도이다. 예를 들면, 물체(402)를 구성하는 재료의 제로-크로싱 온도가 약 22 ℃이면, 시간(t2)에서 채널들(408)에 진입하는 유체의 제2 온도는 물체(402)를 구성하는 재료의 제로-크로싱 온도인 약 22 ℃의 평균 온도를 갖도록 클램프(406)가 물체(402)를 조절하는 온도이다.
물체(402)가 물체(402)를 구성하는 재료의 제로-크로싱 온도와 대략 동일한 평균 온도를 갖도록 조절되는 일부 실시예들에서, 상기 내부 열적 힘들에 기인한 내부 굽힘 모멘트(bending moment)들의 합은 0과 거의 동일하다(예를 들면, 도 8 및 도 14 모두의 실시예들에 도시된 바와 같이). 그리고 일부 실시예에서(예컨대, 도 14에 도시된 바와 같이, 변형되지 않은 상태에서 물체(402)를 구성하는 재료의 제로-크로싱 온도가 물체(402)의 온도보다 더 클 때) 거의 영(zero)과 동일한 내부 굽힘 모멘트의 합 이외에, 내부 열적 힘들의 합은 거의 0과 동일할 수 있다. 그러한 실시예들(즉, 내부 모멘트들의 합이 거의 영(zero)과 같고 그리고/또는 내부 열적 힘들의 합이 거의 영(zero)과 동일한 실시예들)에서, 물체(402)의 변형은 감소될 수 있다(이는 더 작고 더 나은 교정 가능한 변형 형상들을 이끌 수 있다). 물체(402)를 구성하는 재료의 제로-크로싱 온도와 대략 동일한 평균 온도를 갖는 컨디셔닝 물체(conditioning object)(402)의 한 가지 이점은 노광 열 부하의 공간 변화에 대한 감도가 감소 될 수 있다는 것이다. 물체(402)를 구성하는 재료의 제로-크로싱 온도와 대략 동일한 평균 온도를 갖는 컨디셔닝 물체(402)의 또 다른 이점은 물체(402)를 구성하는 재료의 공간 제로-크로싱 변화에 대한 감도가 또한 감소될 수 있다는 것이다.
다른 실시예들에서, 시간(t2)에서 채널들(408)에 진입하는 유체의 제2 온도는 물체(402)를 구성하는 재료의 제로-크로싱 온도보다 낮거나 보다 높은 평균 온도를 갖도록 클램프(406)가 물체(402)를 조절하는 온도이다.
일부 실시예들에서, 시간(t1)에서 채널들(408)에 진입하는 유체의 제1 온도로부터 시간(t2)에서 채널들(408)에 진입하는 유체의 제2 온도로의 천이는 도 4에 도시된 바와 같이 계단모양이다. 다른 실시예들에서, 상기 천이는 계단모양이 아니다.
클램프(406)의 채널들(408)을 통과하는 유체의 냉각 파워로 인한 척(404)의 변형을 방지 또는 감소시키기 위해, 지지체(400)는 채널들(408)과 척(404) 사이에서 클램프(406)의 일부분을 조절하도록 구성될 수 있다. 예컨대, 클램프(406)의 표면(418)을 포함하는 클램프(406)의 일부분은 채널들(408)을 통과하는 유체의 온도보다 높은 온도로 유지될 수 있다. 일부 실시예들에서, 채널들(408)과 척(404) 사이의 클램프(406)의 일부분은 채널(들)(408)과 척(404) 사이의 적어도 하나의 채널(422)을 통해 채널들(408)을 통과하는 유체의 온도보다 높은 온도에서 유체를 통과시킴으로써 높은 온도로 유지될 수 있다. 도 3에 도시된 바와 같이, 클램프(406)는 일부 실시예들에서 복수 개의 채널들(422)을 형성할 수 있다. 다른 실시예들(미도시)에서, 클램프(406)는 단일 채널(422)을 형성한다. 채널들(422)은 조절된 유체를 클램프(406)를 통해 순환시키도록 구성된다. 채널(422)을 통해 유체를 통과시키는 것은 채널들(408)을 통과하는 유체의 온도보다 높은 실질적으로 일정한 온도에서 채널들(408)과 척(404) 사이의 클램프(406)의 일부분을 유지시킨다. 따라서, 클램프(406)는 척(404)의 변형을 방지 또는 감소시키는 온도, 예컨대 약 22 ℃를 갖도록 척(404)을 연속적으로 조절한다.
일부 실시예들에서 채널들(422)은 클램프(406)의 장착 표면(416)에 평행하게 연장되도록 구성될 수 있다. 일부 실시예들에서, 채널들(422)을 통과하는 유체(즉, 액체 또는 가스)는 물, 공기, 알코올, 글리콜, 상 변화 냉각제(예컨대, 프레온, 이산화탄소), 또는 이들의 조합이다. 도 3에 도시된 바와 같이, 일부 실시예들에서 채널들(422)은 척(404)과 채널들(408) 사이에 있고, 채널들(422)은 채널들(408)로부터 분리되어 있다.
일부 실시예들에서, 지지체(400)는 클램프(406)에 들어가기 전에 채널들(422)을 통과하는 유체의 특성, 예컨대 온도를 조절하기 위해 채널들(422)에 결합되는 유체 컨디셔닝 장치(411)를 포함한다. 일부 실시예들에서, 유체 컨디셔닝 장치(411)는 펠티어 냉각기 또는 임의의 다른 열전기 냉각 장치와 같은 하나 이상의 열전기 냉각 장치들을 포함할 수 있다. 다른 실시예들에서, 유체 컨디셔닝 장치(411)는 쉘 및 튜브형 열교환기(shell and tube heat exchanger), 플레이트형 열교환기(plate heat exchanger) 또는 임의의 다른 열교환기와 같은 하나 이상의 열교환기들을 포함한다. 일부 실시예들에서, 유체 컨디셔닝 장치(410)는 하나 이상의 열전기 냉각 장치와 하나 이상의 열 교환기들의 조합을 포함한다.
일부 실시예들에서, 채널들(422)을 통과하는 유체는 재순환된다. 예컨대, 상기 유체는 채널들(422) 및 클램프(406)를 빠져 나가고 이후 채널들(422)을 통해 클램프(406)에 들어가기 전에 하나 이상의 관(duct)들을 통해 유체 컨디셔닝 장치(411)로 다시 라우팅된다. 다른 실시예들에서, 채널들(422)을 통과하는 상기 유체는 재순환되지 않으며, 유체 컨디셔닝 장치(411)로부터의 상류의 유체 공급원으로부터 배출된다.
일부 실시예들에서, 유체 컨디셔닝 장치(411)의 냉각력은, 예컨대 제어기(413)로부터 수신된 제어 신호에 기초한다. 이러한 실시예들에서, 클램프(406) 내의 채널들(422)로 진입하는 유체의 온도는 일정한 온도로 유지될 수 있다. 예컨대, 도 4에 도시된 바와 같이, 제어기(413)는 제1 시간(t1) 및 후속하는 제2 시간(t2)에서 유체 컨디셔닝 장치(411)가 채널들(422)에 유입되는 유체가 실질적으로 일정한 온도(T2)를 갖도록 조절하도록 유체 컨디셔닝 장치(411)에 제어 신호를 전송할 수 있다. 일부 실시예들에서, 채널들(422)에 진입하는 유체의 온도는 대략 실온(예컨대, 약 22 ℃)이다. 일부 실시예들에서, 채널들(422)에 진입하는 유체의 제1 온도는 약 17 ℃ 내지 약 27 ℃ 범위 내에 있으며, 예컨대 약 22 ℃이다. 일부 실시예들에서, 채널들(422)에 진입하는 유체의 온도는 도 4에 도시된 바와 같이 시간(t2)에서 채널들(408)로 진입하는 유체의 온도보다 높다.
다른 실시예들에서, 유체 컨디셔닝 장치(411)의 온도 컨디셔닝 파워는 예컨대 제어기(413)로부터 수신된 제어 신호에 기초하여 조정 가능하다. 이러한 실시예들에서, 클램프(406) 내의 채널들(422)로 진입하는 유체의 온도는 선택적으로 조정될 수 있다. 채널들(422)로 진입하는 유체의 온도를 선택적으로 조절하는 것은 척(404) 상의 클램프(406)의 온도 컨디셔닝 파워를 변화시킨다.
도 7은 일 실시예에 따라, (1) 물체(402)가 방사선 빔(403)에 노광되고 그리고 (2) 채널들(408)로 진입하는 유체의 온도가 제1 온도에서 더 낮은 제2 온도로 조정된 후의 다양한 시간들(T1-T8)에서 채널들(408 및 422)을 갖는 물체(402) 및 클램프(406)의 온도를 도시한다. 이 실시예들에서, 제2 온도에서 채널들(408)을 통해 유체를 통과시킴으로써 생성된 물체(402) 상의 냉각 파워는 방사선(403)에 의한 노광 동안 물체(402)에 인가되는 가열 파워와 거의 동일하고, 물체(402)의 평균 온도는 물체(402)를 구성하는 재료의 제로-크로싱 온도와 대략 동일하게 시간이 지나서도 유지된다. 예컨대, 물체(402)가 방사선 빔(403)으로부터 80W로 노광되는 경우, 채널들(408)에 진입하는 유체의 온도는 약 22 ℃ 내지 약 -8 ℃로 조정되어, 표면(412) 상의 냉각 파워는 방사선(403)에 의한 노광 동안에 물체(402)에 의해 흡수된 80W의 가열 파워와 시간에 걸쳐 거의 동일하다. 따라서, 일부 실시예들에서 물체(402)의 평균 온도는 시간에 따라 대략 실내 온도, 예컨대 약 22 ℃와 동일하게 유지되며, 이는 또한 물체(402)를 구성하는 재료의 제로-크로싱 온도와 유사하다.
도 7에서, 수평축은 클램프(406)의 장착 표면(416) 및 물체(402)의 표면(414)에 실질적으로 수직인 방향으로 물체(402) 또는 클램프(406) 상의 위치에 대응한다. 예컨대, 상기 수평축의 우측 단부에서의 점선은 방사선 빔(403)을 수용하는 물체의 표면(414)에 대응한다. 상기 수평축의 좌측 단부에서의 점선은 척(404)에 인접한 클램프(406)의 표면(418)에 대응한다. 점선(414)의 좌측에 있는 중간 점선(416, 412)은 클램프(406)의 장착 표면(416)과 장착 표면(416)에 인접한 물체(402)의 표면(412) 사이의 계면(interface)에 대응한다. 점선(416, 412)의 좌측에 있는 점선(408)은 클램프(406)의 채널들(408)의 위치에 대응하고, 점선(408)의 좌측에 있는 점선은 채널들(422)의 위치에 대응한다. 특히, 클램프(406)의 장착 표면(416)과 물체(402)의 표면(412) 사이의 계면(416, 412)에서의 온도의 불연속은 클램프(406)와 물체(402) 사이의 백필(back-fill) 압력의 열 저항 때문이다.
도 7에 도시된 바와 같이, 물체(402)의 표면(414)이 80W 방사선 빔(403)에 의해 노광된 후 그리고 채널들(408)을 진입하는 유체의 온도가 약 -8 ℃로 조정된 후에, 표면(414)을 포함하는 물체(402)의 일부분의 온도는 시간(T1)에서 시간(T8)까지 약 22 ℃에서부터 증가하고, 표면(412)을 포함하는 물체(402)의 일부분의 온도는 시간(T1)에서 시간(T8)까지 감소한다. 물체(402)는 약 4mm 내지 약 8mm 범위의 두께를 가지며, 온도의 함수로서 변화하고 약 22 ℃의 제로-크로싱 온도를 갖는 초저 열팽창 계수를 갖는 재료를 포함한다. 일부 실시예들에서, 클램프(406)는 약 6mm 내지 약 10mm의 범위, 예컨대 8mm의 두께를 가지며, 온도의 함수로서 변화하고 약 22 ℃의 제로-크로싱 온도를 갖는 초저 열팽창 계수를 갖는 재료를 포함한다 (일부 실시예들에서, 클램프(406)는 물체(402)를 구성하는 재료의 제로-크로싱 온도보다 낮은 제로-크로싱 온도, 예컨대 약 8 ℃를 갖는 초저 열팽창 계수를 갖는 재료를 포함한다.) 일부 실시예들에서, 시간(T8)은 물체(402)의 표면(414)이 방사선 빔(403)으로 노광된 후 그리고 채널들(408)에 진입하는 유체의 온도가 더 낮은 온도, 예컨대 약 -8 ℃로 조정 된 후 약 200초이다.
도 7에 도시된 바와 같이, 시간(T1-T8)에서 물체(object)(402)의 평균 온도는 약 22 ℃이며, 이는 일부 실시예들에서 물체(402)를 구성하는 재료의 제로 크로싱 온도이다. 물체(402)의 표면(414)을 포함하는 물체(402)의 부분은 약 22 ℃보다 고온이며(즉, 물체(402)를 구성하는 상기 재료의 상기 제로-크로싱 온도보다 높은 온도이며), 물체(402)의 표면(412)을 포함하는 물체(402)의 부분은 약 22 ℃보다 차다(즉, 물체(402)를 구성하는 상기 재료의 상기 제로-크로싱 온도보다 낮은 온도이다). 예컨대, 표면(414)에서 물체(402)의 온도는 시간(T8)에서 방사선(403)에 노광될 때 약 38 ℃ 일 수 있다. 물체(402)의 온도는 물체(402)의 중심점 근처에서 물체(402)의 온도가 약 22 ℃(즉, 물체(402)를 구성하는 재료의 제로-크로싱 온도 부근)가 될 때까지 클램프(406)의 방향으로 감소한다. 물체(402) 상의 이 포인트로부터, 물체(402)의 온도는, 물체(402)의 온도가 시간(T8)에서 물체(402)의 표면(412)에서 약 10 ℃가 될 때까지 클램프(406)의 방향으로 계속 감소한다.
클램프(406)에 의한 결과적인 냉각으로 인해, 물체(402)의 평균 온도는, 예컨대 약 22 ℃에서, 물체(402)를 구성하는 상기 재료의 제로-크로싱 온도 부근에(일부 실시예들에서 시간(T1)에서 시간(T8)으로 실질적으로 천이하는 동안) 머물러 있게 된다. 또한, 도 7에 도시된 바와 같은 일부 실시예들에서, 물체(402)의 평균 온도(물체(402)를 구성하는 재료의 제로-크로싱 온도 근처)와 표면(412)에서의 물체(402)의 온도 사이의 차이는, 물체(402)의 상기 평균 온도(물체(402)를 구성하는 재료의 제로-크로싱 온도 근처)와 시간(T1)에서 시간(T8)로 천이하는 동안 표면(414)에서의 물체(402)의 온도 간의 차이와 거의 동일하다. 이 온도 분포는 도 8에 도시된 바와 같이 물체(402)의 팽창으로 인해 물체(402)의 표면(414)에 실질적으로 평행한 축을 중심으로 실질적으로 대칭적인 내부 열적 힘 분포(thermal force distribution)를 생성할 수 있다. 도 8에서, 힘(F1)은 약 38 ℃의 온도를 갖는 물체(402)의 표면(414)에서 생성된 내부 열적 힘(thermal force)에 대응하고, 힘(F2)은 약 22 ℃의 온도(즉, 물체(402)를 구성하는 재료의 제로-크로싱 온도 근처)를 갖는 물체(402) 내의 지점과 표면(414) 사이의 지점에서 물체(402) 내에 생성되는 상기 내부 열적 힘에 대응한다. 힘(F3)은 약 22 ℃의 온도를 갖는 물체(402) 내의 지점과 물체(402)의 표면(412) 사이의 지점에서 물체(402) 내에 생성된 내부 열적 힘에 대응하고, 힘(F4)는 물체(402)의 표면(412)에서 생성된 상기 내부 열적 힘에 대응한다. 도 9는 물체(402)상의 힘(F1-F4)의 분포를 개략적으로 도시한다.
도 9에 도시된 바와 같이, 힘(F1-F4)은, 물체(402)를 구성하는 재료의 제로-크로싱 온도와 대략 동일한 온도, 예컨대 22 ℃를 갖는 물체(402)의 일 지점을 교차하는, 물체(402)의 표면(414)과 평행한 축을 중심으로 실질적으로 대칭이다. 이러한 실질적으로 대칭적인 힘 분포는 물체(402)의 팽창에 의해 발생된 내부 열적 힘들에 의해 물체(402)에 가해지는 내부 굽힘 모멘트(bending moments)를 감소시키는 데 도움을 줄 수 있으며, 이는 물체(402)의 변형을 감소시키는 것을 도울 수 있다.
도 14는 클램프(406)에 의한 결과적인 냉각으로부터 달성될 수 있는 물체(402)의 팽창으로 인해 물체(402)의 표면(414)에 실질적으로 평행한 축을 중심으로 한 대칭 내부 열적 힘 분포의 다른 예를 도시한다. 이 실시예에서, 물체(402)는 변형되지 않은 상태에서 물체(402)의 온도(예컨대 도 14에 나타낸 약 20 ℃) 보다 큰 제로-크로싱 온도(예컨대, 도 14에 나타낸 약 30 ℃)를 갖는 재료로 구성된다. 도 14에서, 힘(F1)은 약 45 ℃의 온도를 갖는 물체(402)의 표면(414)에서 발생된 상기 내부 열적 힘에 대응하고, 힘(F2)은 약 30 ℃의 온도(즉, 물체(402)를 구성하는 재료의 제로-크로싱 온도 근처)를 갖는 물체(402)의 중간 지점에서 생성된 내부 열적 힘에 대응한다. 힘(F3)은 약 15 ℃의 온도를 갖는 물체(402)의 표면(412)에서 발생된 내부 열적 힘에 대응한다. 도 14에서, 상기 내부 열적 힘들로부터 기인한 내부 굽힘 모멘트의 합은 대칭 내부 열적 힘 분포에 기인하여 약 0과 동일하다. 또한, 물체(402)의 표면(414)에 평행한 평면 내에서의 상기 내부 열적 힘의 합은 약 0의 값을 갖는다. 내부 열적 힘들에 기인한 상기 내부 굽힘 모멘트들의 합을 감소시키거나 내부 열적 힘들의 합을 감소시킴으로써 물체(402)의 변형을 감소시킬 수 있다.
물체(402)가 패터닝 디바이스인 실시예들에서, 채널들(408 및 422)을 통해 유체를 통과시킴으로써 물체(402) 및 척(404)의 변형을 감소시키는 것은, 기판 상에 노광된 패턴에 대한 오버레이 오차를 감소시킬 수 있다. 예컨대, 도 10은 일 실시예에 따라, 물체(402)가 지지체(400)를 사용하여 방사선 빔(403)에 노광된 후의 다양한 시간들(T1 내지 T8)에서 기판 상의 위치의 함수로서 상기 기판 상에 노광된 패턴의 미가공 오버레이 오차(raw overlay error)를 도시한다. 이 실시예에서, 클램프(406) 및 물체(402)는 도 7에 도시된 바와 같은 온도 분포를 가지며, 클램프(406)는 온도의 함수로서 변화하는 초저 열팽창 계수를 갖는 재료를 포함하고, 물체(402)는 온도의 함수로서 변화하는 초저 열팽창 계수를 갖는 재료를 포함한다. 이 실시예에서, 클램프(406)를 구성하는 재료는, 물체(402)를 구성하는 상기 재료의 제로-크로싱 온도, 예컨대 약 22 ℃와 거의 동일한 제로-크로싱 온도, 예컨대, 약 22 ℃를 갖는다. 도 10에서, 시간(T1 내지 T8)은 도 7 시간(T1 내지 T8)에 대응한다. 일부 실시예들에서, 도 7에 도시된 바와 같이 T8은 약 200 초(예컨대, 214 초) 일 수 있고, 시간(T1-T8)으로부터 기판을 따르는 최대 미가공 오버레이 오차(maximum raw overly error)는 도 10에 나타낸 바와 같이 약 0.5nm이다.
도 11은 다른 실시예에 따라, 물체(402)가 지지체(400)를 이용하여 방사선 빔(403)에 노광된 후의 다양한 시간들(T1 내지 T8)에서 기판 상의 위치의 함수로서 기판 상에 노광된 패턴의 미가공 오버레이 오차를 도시한다. 이 실시예에서, 클램프(406) 및 물체(402)는 도 7에 도시된 바와 같은 온도 분포를 가지며, 클램프(406)는 온도의 함수로서 변화하는 초저 열팽창 계수를 갖는 재료를 포함하고, 물체(402)는 온도의 함수로서 변화하는 초저 열팽창 계수를 갖는 재료를 포함한다. 이 실시예에서, 클램프(406)를 구성하는 재료는 대략 물체(402)를 구성하는 재료의 제로-크로싱 온도, 예컨대 약 22 ℃ 보다 낮은 제로-크로싱 온도, 예컨대 약 8 ℃를 갖는다. 도 11에서, 시간들(T1 내지 T8)은 도 7의 시간들(T1 내지 T8)에 대응한다. 일부 실시예들에서, 시간(T8)은 도 7에 도시된 바와 같이 약 200초(예컨대, 214초)일 수 있으며, 시간들(T1-T8)로부터 기판을 따른 최대 미가공 오버레이 오차는 도 11에 도시된 바와 같이 약 0.1nm이다. 도 10의 실시예에 비해 이 실시예에서의 미가공 오버레이 오차의 감소는 클램프(406)에서 생성된 내부 열적 힘들에, 적어도 부분적으로, 기인한다. 도 7에 도시된 것처럼 클램프(406)가 온도 분포를 가질 때에, 클램프(406)에서 발생된 상기 내부 힘들은 물체(402)에서 생성된 내부 열팽창 힘들(F1-F4)(도 9 참조)의 반대 방향으로 있을 것이다. 클램프(406)에서 생성된 이러한 내부 압축 열적 힘들은 물체(402)에서 생성된 내부 팽창 열적 힘들(F1-F4)(도 9 참조)에 저항할 수 있으며, 물체(402)는 물체(402)의 변형을 감소시키는 것을 도울 수 있고 그리고, 차례로 기판에서의 상기 오버레이 오차를 감소시키는 것을 도울 수 있다.
일부 실시예들에서, 지지체(400)는 도 12에 도시된 바와 같이 펠리클(pellicle)(424)을 포함한다. 예컨대, 펠리클(424)의 일 측면은 물체(402)의 측 방향 단부 부분(426)에 장착될 수 있고, 펠리클(424)의 다른 측면은 물체(402)의 대향하는 측 방향 단부 부분(428)에 장착될 수 있다. 일부 실시예들에서, 지지체(400)는 마운트(430)의 일 단부 상의 측 방향 단부 부분(426)에서 물체(402)의 표면(414)에 결합되고 그리고 마운트(430)의 다른 단부 상의 펠리클(424)에 결합되는 마운트(mount)(430), 예컨대, 실리콘 카바이드(silicon carbide) 또는 금속 스터드(stud)를 포함한다. 지지체(400)는 또한 마운트(432)의 일 단부상의 측 방향 단부 부분(428)에서 물체(402)의 표면(414)에 결합되고 그리고 마운트(432)의 다른 단부 상의 페리클(424)에 결합되는 마운트(432), 예컨대, 실리콘 카바이드 또는 금속 스터드를 포함한다. 일부 실시예들에서, 마운트들(430 및 432)은 물체(402)의 표면(414)에 직접 부착될 수 있다. 일부 실시예들에서, 측 방향 단부 부분(426 및 428)은 노광 필드에 포함되지 않으며, 다시 채워지는 클램프(406)와 물체(402) 사이의 계면들과 정렬되지 않는 물체(402)의 부분들에 대응한다.
일부 실시예들에서, 제어기(413)는 물체(402)의 측 방향 단부 부분(426, 428)이 실질적으로 실온(예컨대, 약 22 ℃)으로 유지되도록 채널들(408)을 통과하는 유체의 온도를 제어한다. 도 13은 (1) 물체(402)가 방사선 빔(403)에 노광되고; 그리고 (2) 채널들(408)로 들어가는 유체의 온도가 상술한 실시예들에서 설명된 바와 같이 제1온도에서 더 낮은 제2온도로 조정된 후의: 다양한 시간들(T1-T6)에서 하나의 그러한 실시예에 따라 채널들(408, 422)을 갖는 물체(402)의 표면(414)의 온도를 나타낸다. 일부 실시예들에서, 시간(T6)은 방사선 빔(403)의 노광이 시작된 후 약 300 초 이상이다. 이 실시예에서, 측 방향 단부 부분(426, 428)에서 물체(402)의 표면(414)의 온도는 대략 실온, 예컨대, 약 22 ℃이다. 따라서, 마운트(430, 432)는 대략 실온, 예컨대 약 22 ℃로 유지될 것이다. 대략 실온에서 마운트(430, 432)를 유지하는 것은 노광 중 마운트(430, 432)의 팽창을 감소시키는데 도움을 줄 수 있으며, 이는 다시 물체(402)의 국부 변형을 감소시킬 수 있다.
일부 실시예들에서, 척(404)에 인접한 클램프(406)의 일부분, 예컨대 채널들(422)을 포함하는 상기 일부분은 채널들(408)을 포함하는 척(404)의 일부분으로부터 그 사이의 클램프(406) 내에 보이드들을 형성함으로써 열적으로 격리된다. 도 15는 클램프(406)의 그러한 일 실시예를 도시한다. 도 15에 나타낸 바와 같이, 클램프(406)는 제1층(434), 제2층(436), 및 제3층(438)을 포함한다. 제1층(434)은 물체(402)를 수용하는 표면(416)을 형성하는 복수 개의 버얼들(440)을 포함한다. 제2층(436)은 채널들(408)을 형성하고, 제3층(438)은 복수 개의 보이드들(444)을 형성하는 복수 개의 버얼들(442)을 포함한다. 제3층(438)은 클램프(406)의 표면(418)에서 척(404)에 결합된다.
일부 실시예들에서, 제1, 제2 및 제3 층들(434, 436, 438)은 양극의 또는 융합 결합(anodic or fusion bonding)에 의해 서로 광학적으로 결합된다. 예컨대, 제1 및 제2 층들(434, 436)은 계면(448)에서 함께 융합 결합될 수 있고, 제2 및 제3 층들(436, 438)은 계면(446)에서 함께 융합 결합될 수 있다. 그리고 제3층(438)은 표면(418)에서 척(404)(도시되지 않음)과 광학적으로 결합될 수 있다. 결합 후에, 제1, 제2 및 제3 층들(434, 436, 438)은 일부 실시예들에서 단일체이다.
다른 실시예들에서, 클램프(406)는 보이드(444), 채널들(408), 및 채널들(422)을 형성하는 단일층이다.
일부 실시예들에서, 보이드들(444) 내에 진공이 형성된다. 일부 실시예들에서, 상기 진공은 상기 리소그래피 장치의 동작 이용 중에 발생한다. 다른 실시예들에서, 보이드들(444)은 열적 절연 유체(thermally insulating fluid), 예컨대 공기 또는 임의의 다른 절연을 위한 유체로 채워진다. 일부 실시예들에서, 보이드들(444)은 보이드들(444)이 없는 유사한 클램프에 비해 채널들(408)을 포함하는 제2층(436) 및 척(404)에 인접한 제3층(438) 사이의 열 전도를 50-100 배 감소시킨다. 일부 실시예들에서, 보이드들(444)에 의해 제공되는 이 단열은 제1 및 제2 층들(434, 436)이 실온 또는 22 ℃보다 실질적으로 낮은 온도, 예컨대, 2 ℃ 이하의 온도에서 작동하는 것을 허용하지만, 제3층(438)은 변형되지 않은 제조된 상태, 예컨대 약 실온 또는 22 ℃에서 척(404)의 온도와 거의 동일한 온도를 유지한다. 이 구성은 척(404)의 잔류 열 오차들을 감소시키면서 척 안정성 및 제조를 향상시킬 수 있다.
보이드들(444)은 척(404)에 인접한 클램프(406)의 일부분(예컨대, 제3층(438))으로부터 채널들(408)을 포함하는 클램프(406)의 일부분(예컨대, 제1층(434) 또는 제2층(436)) 사이의 열 결합을 감소시킨다(즉, 열적으로 격리된다). 클램프(406)가 채널들(422)을 포함 할 때, 결과적인 열 격리는 채널들(408 및 422)을 통과하는 유체들 사이의 더 작은 온도차 및/또는 채널들(408 및 422) 사이의 더 작은 거리를 허용하여 스케일 안정성 및 척 평탄도(flatness)를 향상시킬 수 있다.
일부 실시예들에서, 채널들(422)은 클램프(406)로부터 생략될 수 있다. 보이드들(444)이 채널들(408)을 포함하는 클램프(406)의 일부분으로부터 척(404)에 접촉하는 클램프(406)의 일부분을 열적으로 절연하기 때문에, 채널들(422) 내의 유체 흐름을 통한 척(404)의 조절은 불필요하게 된다.
일부 실시예들에서, 제2층(436)과 접하는 버얼들(442)의 표면적은 제3층(438)을 향하는 제2층(436)의 표면의 표면적의 50% 미만이다. 일부 실시예들에서, 제2층(436)과 접하는 버얼들(442)의 표면적은 제3층(438)을 향하는 제2층(436)의 표면의 표면적의 10% 미만이다.
일부 실시예들에서, 층들(434, 436, 438) 각각은 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 예컨대, 층들(434, 436, 438)을 구성하는 재료는 초저 팽창 실리콘 기반의 재료들(예: Corning에 의해 제조된 ULE® 유리), 유리 재료들, 세라믹 재료들, 실리콘 기반의 유리 세라믹 재료들(예: SCHOTT에 의해 제조된 ZERODUR® 유리 세라믹) 또는 이들의 조합일 수 있다.
일부 실시예들에서, 도 15에 도시된 바와 같은 보이드들(444)을 갖는 클램프(406) 대신에, 척(404)은 도 16에 도시된 바와 같이, 클램프(406)로부터 척(404)을 열적으로 격리시키는 보이드들(464)을 가질 수 있다. 이러한 구성(즉, 클램프(406) 대신 척(404)에 있는 보이드들(464))은 클램프(406)의 구조를 단순화 할 수 있다. 예컨대, 클램프(406) 대신에 척(404) 내에 보이드들(464)을 형성하는 것은 클램프(406)를 형성하는 층들의 수를 감소시킬 수 있다. 도 15에서, 보이드들(444)을 갖는 클램프(406)는 3개의 층들로 형성되지만, 도 16에서, 보이드들(444)이 없는 클램프(406)는 2개의 층들로 형성된다.
도 16에 도시된 바와 같이, 클램프(406)는 복수 개의 층들로 제조될 수 있다. 예컨대, 클램프(406)는 제1층(450) 및 제2층(452)을 포함할 수 있다. 제1층(450)은 물체(402)를 수용하는 표면(416)을 형성하는 복수 개의 버얼들(440)을 포함한다. 제2층(452)은 채널들(408)을 형성하고 척(404)에 결합된다.
다른 실시예들(도시되지 않음)에서, 제1층(450)은 채널들(408)을 형성한다.
다른 실시예들(도시되지 않음)에서, 클램프(406)는 단일 층 또는 3개 이상의 층들로 형성된다.
도 16에서 버얼들(440)이 사다리꼴 단면 형상을 갖지만, 버얼들(440)은 다른 적절한 단면 형상들, 예컨대 직사각형, 삼각형, 또는 반구형 형상을 가질 수 있다.
또한, 클램프(406)는 일부 실시예들에서 물체(402)를 정 위치에 유지하기 위해 정전기장을 생성하는 정전기 클램프일 수 있다. 그러한 정전기 실시예들에서, 하나 이상의 층들(450, 452)은 이 정전기장을 생성하는 전극들(도시되지 않음)을 포함할 수 있다.
도 16에 도시된 바와 같이, 척(404)은 복수 개의 층들로 구성될 수 있다. 예컨대, 척(404)은 클램프(406)의 제2층(452)에 결합되는 표면(420)을 형성하는 제1층(454), 및 제1층(454)에 결합되는 제2층(456)을 포함할 수 있다.
다른 실시예들(도시되지 않음)에서, 보이드들(464)을 형성하는 층(456)이 클램프(406)에(예컨대, 클램프(406)의 층(452)에) 직접 결합되도록 제1층(454)이 생략될 수 있다. 다른 실시예들(도시되지 않음)에서, 척(404)의 하나 이상의 층은 보이드들(464)을 형성하는 층(456)과 클램프(406) 사이에 위치될 수 있다. 다른 실시예들에서, 척(404)은 단일 층 또는 2개 이상의 층들(예컨대, 3, 4, 또는 5층들)로 형성된다. 예컨대, 척(404)의 제1 및 제2 층들(454, 456)은 보이드들(464)을 형성하는 단일의 통합 층일 수 있다.
일부 실시예들에서, 층들(450, 452, 454, 456) 각각은 온도의 함수로서 변화하는 초저 열팽창 계수들을 갖는 하나 이상의 재료들로 구성된다. 예컨대, 층들(450, 452, 454, 456)을 구성하는 상기 재료는 초저 팽창 실리콘 기반의 재료들(예: Corning에 의해 제조된 ULE® 유리), 유리 재료들, 세라믹 재료들, 실리콘 기반의 유리 세라믹 재료들(예: SCHOTT에 의해 제조된 ZERODUR® 유리 세라믹) 또는 이들의 조합일 수 있다.
클램프(406)의 표면(418)과 척(404)의 표면(420) 사이의 계면(458)은 광학적으로 결합될 수 있다. 예컨대, 척(404) 및 클램프(406)가 유리 재료들, 세라믹 재료들 또는 실리콘 기반의 유리 세라믹 재료들(예: SCHOTT에 의해 제조된 ZERODUR® 유리 세라믹)로 구성되는 일부 실시예들에서, 계면(458)은 양극 결합(anodic bond)일 수 있다. 척(404) 및 클램프(406)가 초저 팽창 실리콘 기반의 재료들(예컨대, Corning에 의해 제조된 ULE® 유리)로 만들어진 일부 실시예들에서, 계면(458)은 융합 결합(fusion bond)일 수 있다.
일부 실시예들에서, 클램프(406)의 제1 및 제2 층들(450, 452) 및 척(404)의 제1 및 제2 층들(454, 456)은 양극 또는 융합 결합에 의해 서로 광학적으로 결합된다. 예컨대, 클램프(406)의 제1 및 제2 층들(450, 452)은 계면(448)에서 함께 융합 또는 양극 결합될 수 있고, 제1 및 제2 층들(436, 438)은 계면(446)에서 함께 융합 또는 양극 결합될 수 있다. 결합 후에, 클램프(406)의 제1 및 제2 층들(450, 452) 및 척(404)의 제1 및 제2 층들(454, 456)은 일부 실시예들에서 단일체이다.
일부 실시예들에서, 척(404)의 제2층(456)은 도 16에 도시된 바와 같이 복수 개의 보이드들(464)을 형성하는 복수 개의 버얼들(462)을 포함한다. 도 16에서 버얼들(462)이 사다리꼴 단면 형상을 갖지만, 버얼들(462)은 예컨대 직사각형, 삼각형 또는 반구형 형상과 같은 다른 적절한 단면 형상들을 가질 수 있다. 유사하게, 도 16에서 보이드들(464)이 사다리꼴 단면 형상을 갖지만, 보이드들(464)은 예컨대 직사각형, 삼각형, 아치형 또는 원형 형상과 같은 다른 적절한 단면 형상들을 가질 수 있다.
다른 실시예들에서, 척(404)은 보이드들(464)을 형성하는 단일 층이다. 일부 실시예들에서, 보이드들(464) 내에 진공이 형성된다. 일부 실시예들에서, 상기 진공은 상기 리소그래피 장치의 동작 사용 중에 발생한다. 다른 실시예들에서, 보이드들(464)은 열적으로 절연을 위한 유체, 예컨대 공기 또는 임의의 다른 절연을 위한 유체로 채워진다.
보이드들(464)은 (i) 클램프(406)에 인접한 척(404)의 제1층(454)과 (ii) 보이드들(464)을 포함하는 척(404)의 제2층(456) 사이의 열 결합을 감소시키고(열적으로 격리시킨다) 차례로 클램프(406)와 척(404) 사이의 열 결합을 감소시킨다. 보이드들(464)에 의해 제공되는 이러한 열 절연은 클램프(406) 및 척(404)의 제2층(454)이 실온 또는 22 ℃보다 실질적으로 낮은 온도, 예컨대 2 ℃ 이하의 온도에서 작동하는 것을 허용하지만, 척(404)의 제2층(456)은 변형되지 않은 제조된 상태, 예컨대 약 실온 또는 22 ℃에서 척(404)의 온도와 거의 동일한 온도를 유지한다. 이 구성은 척(404)의 잔류 열 오차들을 감소시키면서 척 안정성 및 제조를 향상시킬 수 있다.
보이드들(464)이 열적으로 절연을 위한 유체로 채워지거나 및/또는 유체 순환 채널들(466)이 척(404)의 제2층(456)에 선택적으로 형성되는 실시예들에서, 보이드들(464)로부터의 결과적인 열 격리는 (1) 채널들(408) 및 보이드들(464) 또는 채널들(466)을 통과하는 상기 유체들 사이의 더 작은 온도차, 및/또는 (2) 스케일 안정성 및 척 평탄도를 향상시킬 수 있는 채널들(408)과 척(404) 사이의 더 작은 거리를 허용한다.
일부 실시예들에서, 채널들(466)은 척(406)으로부터 생략될 수 있다. 보이드들(464)이 채널들(408)을 포함하는 클램프(406)의 일부분으로부터 열적으로 척(404)을 격리시키기 때문에, 채널들(466) 내의 유체 흐름을 통한 척(404)의 조절은 불필요하게 된다.
일부 실시예들에서, 클램프(406)의 제1층(454)과 접하는 버얼들(462)의 표면적은 척(404)의 제2층(456)을 향하는 제1층(454)의 표면의 표면적의 50 % 미만이다. 일부 실시예들에서, 척(404)의 제1층(454)과 접하는 버얼들(462)의 표면적은 척(404)의 제2층(456)을 향하는 제1층(454)의 표면의 표면적의 10 % 미만이다.
일부 실시예들에서, 클램프(406)의 채널들(408)(및 채널들(466))을 통해 흐르는 유체의 온도는 본원의 설명된 실시예들 중 임의의 하나에서 설명된 바와 같이 제어된다.
물체 온도 제어 방법들의 예시적 실시예들
사용시, 지지체(400)의 상기 실시예들 리소그래피 장치의 척(404)에 결합 된 클램프(406)에 의해 유지되는 물체(402)의 온도를 제어할 수 있다. 예컨대, 일부 실시예에서, 클램프(406) 및 척(404)을 이용하여 물체(402)를 냉각시키는 방법은 물체(402)를 방사선 빔(403)에 노광하는 단계를 포함한다. 일부 실시예들에서, 물체(402)를 방사선 빔(403)에 노광하는 단계는 IC를 제조하는 공정의 일부이다. 예컨대, 물체(402)를 방사선 빔(403)에 노광하는 단계는 방사선 빔에 패턴을 부여하기 위해 레티클을 방사선에 노광하는 단계를 포함한다.
클램프(406) 및 척(404)을 사용하여 물체(402)를 냉각시키는 방법은 또한 클램프(406)의 제1 부분의 온도를 조절하는 단계를 포함할 수 있다. 예컨대, 척(404)의 표면(420)에 결합된 표면(418) 및 채널들(422)을 갖는 클램프(406)의 일부분의 온도는, 예컨대, 채널들(422)을 통해 제1 온도, 예컨대 약 22 ℃에서 의 유체를 통과시킴으로써 조절될 수 있다. 이 방법은 또한 클램프(406)의 제2 부분, 예컨대 채널(408) 및 표면(416)을 갖는 클램프(406)의 일부분의 온도 조절하는 단계, 채널들(408)을 통해 채널들(422)을 통과하는 유체의 온도보다 낮은 온도에서 유체를 통과시킴으로써 물체(402)를 홀딩하는 단계를 포함한다. 일부 실시예들에서, 채널들(408)을 통과하는 유체는 상이한 시점들에서 상이한 온도들을 갖도록 조절된다. 예컨대, 채널들(422)을 통과하는 유체의 온도보다 낮은 온도에서 채널들(408)을 통해 유체를 통과시키기 전에, 채널들(408)을 통과하는 상기 유체는 채널들(422)를 통과하는 유체의 온도와 거의 동일한 온도, 예컨대 약 22 ℃ 온도를 갖도록 조절될 수 있다. 일부 실시예들에서, 그 다음에, 도 4에 나타낸 바와 같이, 제어기(413)는 채널들(408)을 통과하는 유체의 온도를 고온, 예컨대 약 22 ℃로부터 저온, 예컨대 -8℃ 까지 조절하기 위해 유체 컨디셔닝 장치(410)에게 제어 신호를 송신할 수 있다. 온도의 변화는 도 4 도시된 바와 같이 방사선 빔(403)으로 노광되는 물체(402)의 시작과 일치할 수 있다.
일부 실시예들에서, 채널들(408)을 통과하는 유체가 채널들(422)을 통과하는 유체의 온도와 대략 동일한 온도, 예컨대 약 22 ℃를 갖도록 조절되는 동안, 물체(402)는 클램프(406) 상에 적재된다.
일부 실시예에서, 물체(402)를 냉각하는 방법은 물체(402)의 목표 평균 온도, 예컨대 약 22 ℃보다 낮은 온도, 예컨대 -8℃를 갖도록 채널들(408)을 통과하는 유체를 조절하는 단계를 포함한다. 일부 실시예들에서, 물체(402)의 상기 목표 평균 온도는 물체(402)를 구성하는 재료의 제로-크로싱 온도와 유사하다.
일부 실시예들에서, 물체(402)를 냉각시키는 방법은, 유체를 채널들(408)을 통해 통과시킴으로써 생성되는 물체(402) 상의 냉각 파워가 방사선 빔(302)으로 노광되는 동안 물체(402)에 적용되는 가열 파워 예컨대 약 80W와 거의 동일하도록, 채널들(408)을 통해 통과하는 유체가 예컨대 약 8 ℃의 온도를 갖도록 조절하는 단계를 포함한다.
일부 실시예들에서, 물체(402)를 냉각시키는 방법은, 물체(402)가 방사선 빔(403)으로 노광될 때에 생성된 물체(402)의 내부 열적 힘(thermal forces)이 물체(402)의 표면(414)과 실질적으로 평행한 축에 대해 실질적으로 대칭이 되도록, 채널들(408)을 통과하는 유체가 예컨대 8 ℃ 정도의 온도를 갖도록 컨디셔닝하는 단계를 포함한다. 이러한 실시예들에서, 상기 내부 열적 힘에 기인한 물체(402) 내의 내부 굽힘 모멘트들의 합은 약 0이다. 예컨대, 채널들(408)을 통과하는 유체는 도 9에 도시된 바와 같이 내부 열적 힘을 생성하는 온도를 갖도록 조절될 수 있다.
일부 실시예들에서, 물체(402)가 방사선 빔(403)으로 노광된 후에, 채널들(408)을 통과하는 상기 유체는, 채널들(422)을 통과하는 유체의 온도와 대략 동일한 온도, 예컨대 약 22 ℃를 갖도록 조절된다. 예컨대, 물체(402)를 방사선 빔(403)으로 노광한 후에, 제어기(413)는 유체 컨디셔닝 장치(fluid conditioning device)(410)에 제어 신호를 전송하여 채널들(408)을 통과하는 유체의 온도를 저온, 낮은 온도, 예컨대 약 -8 ℃로부터 더 높은 온도 예컨대 약 22 ℃까지 조절할 수 있다. 온도의 변화는 방사선 빔(403)으로 노광되는 물체(402)의 중단(cessation)과 일치할 수 있다.
물체(402) 및 척(404)의 온도를 제어하기 위한 상술한 실시예들 중 임의의 하나는 IC들을 제조하는데 사용될 수 있다. 예컨대, 상기 물체(402)는 웨이퍼 상에 노광될 방사선 빔(403) 상에 IC의 한 층의 패턴을 부여하는데 사용되는 레티클일 수 있다.
본 명세서에서는 정전 클램프를 리소그래피 장치에서 사용하는 것을 언급하였지만, 본 명세서에서 설명된 상기 정전 클램프는 마스크 검사 장치, 웨이퍼 검사 장치, 공간상 계측(aerial image metrology) 장치 및 더 일반적으로는, 예컨대 플라즈마 에칭 장치 또는 디포지션 장치와 같은 진공 조건 또는 대기(비-진공) 조건에서 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 물체를 측정 또는 처리하는 임의의 장치와 같은 다른 응용예들을 가질 수 있다.
본 명세서에서는 IC들의 제조에 있어서의 리소그래피 장치의 사용에 대해 언급하였지만, 본 명세서에 기재된 리소그래피 장치는, 자기 도메인 메모리들을 위한 검출 패턴들, 평판 디스플레이들, 액정 디스플레이들(LCDs), 박막 자기 헤드 등 및 집적 광학 시스템의 제조와 같은 다른 응용예들을 가질 수 있다. 당업자라면, 이러한 대안적인 응용예들과 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어들과 동의어로서 간주될 수 있다는 것을 이해할 것이다. 본 명세서에 언급된 기판은 노광 전 또는 후에, 예컨대 트랙(전형적으로 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및 / 또는 검사 툴에서 처리될 수 있다. 적용 가능한 경우, 본 원의 개시는 그러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 기판은 예컨대 다층 IC를 생성하기 위해 한 번 이상 처리 될 수 있으므로, 본 명세서에서 사용 된 기판이라는 용어는 이미 다수의 처리된 층들을 포함하는 기판을 지칭할 수도 있다.
광학 리소그래피와 관련하여 실시예들을 사용하는 것에 대하여 특정 언급이 이루어졌지만, 본 발명은 다른 응용예들, 예컨대 임프린트 리소그래피(imprint lithography)에서 사용될 수 있고, 문맥이 허용한다면 광학 리소그래피에 한정되지 않는다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 상기 패터닝 디바이스의 토포그래피는 기판에 공급된 레지스트 층 내로 가압될 수 있으며, 그 위에서 상기 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 상기 패터닝 디바이스는 상기 레지스트가 경화된 후에 그 안에 패턴을 남기고 상기 레지스트로부터 이동된다.
본 명세서의 어구 또는 용어는 본 명세서의 전문 용어 또는 표현이 관련 기술 분야의 당업자에 의해 본 발명의 교시에 비추어 해석될 수 있도록 설명을 위한 것이며, 한정하기 위한 것이 아니라는 것을 이해해야 한다.
본 명세서에서 사용되는 "방사선" 및 "빔"이란 용어는, 이온 빔들 또는 전자 빔들과 같은 대전 입자 빔들 뿐만 아니라(예컨대, 파장이 약 365, 355, 248, 193, 157 또는 126㎚인) 자외선(UV) 방사선 및(예컨대, 5 내지 20nm 범위의 파장을 갖는) 극 자외선(EUV) 방사선을 포함하는 모든 타입의 전자기 방사선을 포함한다.
문맥이 허용하면, "렌즈"라는 용어는 굴절, 반사, 자기, 전자기 및 정전기 광학 구성 요소를 비롯한 다양한 유형의 광학 구성 요소들 중 하나 또는 조합을 나타낼 수 있다.
본원에서 사용된 "에치"또는 "에칭" 또는 "에치-백"이라는 용어는 일반적으로 에칭이 완료된 후에 재료의 적어도 일부가 잔류하도록 상기 재료를 패터닝하는 제조 공정을 기술한다. 예컨대, 일반적으로 재료를 에칭하는 공정은 상기 재료 위에 마스킹 층(예컨대, 포토 레지스트 또는 하드 마스크)을 패터닝하는 단계, 이어서 상기 마스크 층에 의해 더 이상 보호되지 않는 상기 재료의 영역을 제거하는 단계, 및 선택적으로 상기 마스크 층의 나머지 부분들을 제거하는 단계를 포함한다. 일반적으로, 상기 제거하는 단계는 상기 마스크 층보다 상기 재료에 더 높은 "선택도"를 갖는 "에천트(etchant)"를 사용하여 수행된다. 이와 같이, 상기 마스크에 의해 보호되는 재료의 영역들은 상기 에칭 프로세스가 완료된 후에도 남아있게 된다. 그러나 위의 설명은 설명의 목적으로 제공되며 제한적인 것이 아니다. 또 다른 예에서, 에칭은 마스크를 사용하지 않지만 상기 에칭 프로세스가 완료된 후에도 재료의 적어도 일부를 남기는 공정을 지칭할 수도 있다.
상기 설명은 "에칭"이라는 용어를 "제거"와 구별하는 역할을 한다. 일 실시예에서, 재료를 에칭할 때, 재료의 적어도 일부는 상기 공정이 완료된 후에 남아있다. 대조적으로, 재료를 제거할 때, 실질적으로 상기 모든 재료가 상기 공정에서 제거된다. 그러나 다른 실시예들에서 '제거'는 에칭을 포함할 수 있다.
본 명세서에 사용된 용어 "증착(deposit)" 또는 "배치(dispose)"는 기판에 재료층을 적용하는 행위를 기술한다. 이러한 용어는 열 성장(thermal growth), 스퍼터링, 증발, 화학 기상 증착, 에피택셜 성장, 원자층 증착, 전기 도금 등을 포함하지만 이에 한정되지는 않는 임의의 가능한 층-형성 기술을 기술하는 것을 의미한다.
본 원에 사용된 용어 중 "기판"은 후속 물질 층들이 그 위에 추가되는 물질을 기술한다. 실시예들에서, 기판 자체는 패턴화될 수 있고, 그 상부에 추가된 물질들이 패턴화 될 수 있거나, 패턴화되지 않고 남아 있을 수 있다.
본 명세서에서 사용되는 "실질적으로" 또는 "실질적으로 접촉하는"이라는 용어는, 일반적으로 제조 및/또는 오정렬 허용오차들로부터 통상적으로 발생하는, 서로 약간 분리되어 서로 물리적으로 실질적으로 접촉하는 요소 또는 구조들을 기술한다. 본 명세서에서 사용되는 하나 이상의 특정 피처들, 구조들 또는 특성들(예컨대, "수직 정렬", "실질 접촉" 등) 사이의 상대적인 공간 설명들은 단지 예시의 목적을 위한 것이며, 그리고 여기에 기술된 상기 구조들의 실용적 구현들은 본 개시물의 사상 및 범위를 벗어남이 없이 제조 및/또는 오정렬 허용 오차들을 포함할 수 있다는 점을 이해해야 한다.
특정 실시예들이 위에 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 상술한 설명은 본 발명을 제한하려는 것이 아니다.
요약 및 요약 섹션이 아닌 상세한 설명 섹션은 청구 범위를 해석하기 위해 의도된 것으로 이해되어야 한다. 개요 및 요약 섹션은 발명자(들)에 의해 고려되는 바와 같이 본 발명의 모든 실시예들이 아닌 하나 이상의 실시예들을 나타낼 수 있으며, 따라서 본 발명 및 첨부된 청구 범위를 어떤 식으로든 제한하고자 하는 것은 아니다.
본 발명은 특정 기능들 및 그 관계들의 구현을 도시하는 기능적 빌딩 블록의 도움으로 위에서 설명되었다. 이러한 기능적 빌딩 블록들의 경계들은 설명의 편의를 위해 본 명세서에서 임의로 정의되었다. 특정 기능들 및 그 관계들이 적절히 수행되는 한, 대체 경계들(alternate boundaries)이 정의될 수 있다.
특정 실시예들에 대한 상술한 설명은 본 발명의 일반적인 성질을 완전하게 밝힘으로써, 다른 사람들이 당업자의 지식을 적용함으로써, 과도한 실험 없이, 본 발명의 일반적인 개념으로부터 벗어나지 않고, 그러한 특정 실시예들의 다양한 응용예들을 용이하게 수정 및/또는 적응할 수 있다. 그러므로 그러한 적응들 및 수정들은 여기에 제시된 교시 및 지침에 기초하여 개시된 실시예들의 등가물의 의미 및 범위 내에 있는 것으로 의도된다.
본 발명의 폭 및 범위는 상술한 예시적인 실시예들 중 어느 것에 의해서도 제한되어서는 안 되며, 다음의 청구 범위 및 그 등가물에 따라서만 정의되어야 한다.

Claims (21)

  1. 리소그래피 장치로서,
    제1유체 온도에서 유체를 통과시키도록 구성된 적어도 하나의 채널을 형성하고 물체(object)를 수용하도록 구성된 클램프; 및
    상기 클램프에 결합되어 있는 척을 포함하고,
    상기 척은, 상기 클램프로부터 상기 척을 열적으로 절연시키도록 구성된 적어도 하나의 보이드를 형성하는, 리소그래피 장치.
  2. 제1항에 있어서, 상기 적어도 하나의 보이드는 진공 상태에 있는, 리소그래피 장치.
  3. 제1항에 있어서, 상기 적어도 하나의 보이드는 유체로 충전된, 리소그래피 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 적어도 하나의 보이드는 복수 개의 보이드들을 포함하는, 리소그래피 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 척은 상기 적어도 하나의 보이드를 형성하는 복수 개의 버얼(burls)들을 포함하는, 리소그래피 장치.
  6. 제5항에 있어서, 상기 척은 상기 클램프에 결합된 제1층 및 상기 적어도 하나의 보이드를 형성하는 상기 복수 개의 버얼들을 형성하는 제2층을 포함하는, 리소그래피 장치.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 제1유체의 온도를 변화시키도록 구성된 유체 컨디셔닝 장치(fluid conditioning device)를 더 포함하는, 리소그래피 장치.
  8. 제7항에 있어서, 상기 유체 컨디셔닝 장치는 상기 제1유체의 온도를 제2유체 온도로부터 상기 제1유체 온도로 변화시키도록 구성되는, 리소그래피 장치.
  9. 제8항에 있어서, 상기 유체 컨디셔닝 장치는, 상기 물체가 방사선으로 노광(expose)될 때에, 상기 제1유체의 온도를 상기 제2유체 온도로부터 상기 제1유체 온도로 변화시키도록 구성되고, 상기 제2유체 온도는 상기 제1유체 온도보다 높은, 리소그래피 장치.
  10. 제8항 또는 제9항에 있어서, 상기 제1유체 온도는 약 -15 ℃ 내지 약 15 ℃ 범위이고, 상기 제2유체 온도는 약 17 ℃ 내지 약 27 ℃ 범위인, 리소그래피 장치.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 제1유체 온도는 상기 물체가 방사선으로 노광될 때 상기 물체의 목표 평균 온도보다 낮은, 리소그래피 장치.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 물체는 온도의 함수로서 변화하는 열팽창 계수를 갖는 재료를 포함하며;
    상기 물체의 재료의 열팽창 계수는 상기 물체의 제로-크로싱 온도에서 대략 0이며; 그리고
    상기 제1유체 온도는, 상기 물체가 방사선으로 노광될 때에 상기 물체의 평균 온도가 상기 물체의 제로-크로싱 온도와 대략 동일하도록 되어 있는,
    리소그래피 장치.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서,
    상기 제1유체 온도는, 상기 물체가 방사선으로 노광될 때에 상기 물체의 내부 힘들이 상기 물체를 유지(hold)하는 상기 클램프의 표면에 수직인 방향으로 실질적으로 대칭이 되도록 하는, 리소그래피 장치.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 제1유체 온도는 상기 물체가 방사선으로 노광될 때에 상기 물체의 내부 힘들의 합이 거의 0이 되도록 하는, 리소그래피 장치.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 적어도 하나의 채널은 복수 개의 채널들을 포함하고, 상기 물체는 패터닝 디바이스인, 리소그래피 장치.
  16. 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법으로서,
    물체를 방사선으로 노광하는 단계; 및
    상기 클램프의 온도를 조절(conditioning)하기 위하여 상기 클램프에 의해 형성된 적어도 하나의 채널을 통해 제1유체 온도에서 유체를 통과시키는 단계;
    를 포함하며,
    상기 클램프는 척에 결합되어 있으며, 상기 척은 상기 클램프로부터 상기 척을 열적으로 절연시키도록 되어 있는 적어도 하나의 보이드를 형성하는,
    리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
  17. 제16항에 있어서,
    상기 클램프에 의해 형성된 상기 적어도 하나의 채널을 통해 상기 제1유체 온도에서 상기 유체를 통과시키기 이전에, 상기 클램프의 온도를 조절하기 위하여, 상기 클램프에 의해 형성된 상기 적어도 하나의 채널을 통해 제2유체 온도에서 상기 유체를 통과시키는 단계; 및
    상기 클램프에 의해 형성되는 상기 적어도 하나의 채널을 통해 상기 제2유체 온도에서 상기 유체를 통과시킨 이후에, 상기 유체의 제2유체 온도를 상기 유체의 제1유체 온도로 변경하는 단계로서, 상기 제2유체 온도는 상기 제1유체 온도보다 높은, 단계
    를 더 포함하는, 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
  18. 제16항 또는 제17항에 있어서,
    상기 제1유체 온도는 약 -15 ℃ 내지 약 15 ℃ 범위로 조절되며; 그리고
    상기 제2유체 온도는 약 17 ℃ 내지 약 27 ℃ 범위로 조절되는,
    리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
  19. 제18항에 있어서,
    상기 제1유체 온도는 약 -8 ℃로 조절되고; 그리고
    상기 제2유체 온도는 약 22 ℃로 조절되는,
    리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
  20. 제16항 내지 제19항 중 어느 한 항에 있어서, 상기 제1유체 온도는 상기 물체를 방사선으로 노광할 때에 상기 물체의 평균 온도보다 낮게 조절되는, 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
  21. 제16항 내지 제20항 중 어느 한 항에 있어서,
    상기 물체는 온도의 함수로서 변화하는 열팽창 계수를 갖는 재료를 포함하며;
    상기 물체의 재료의 상기 열팽창 계수는 제로-크로싱 온도에서 약 0이고; 그리고
    상기 적어도 하나의 채널을 통해 상기 제1유체 온도에서 상기 유체를 통과시키는 단계는, 방사선으로 상기 물체를 노광할 때에 상기 물체의 평균 온도를 상기 물체의 재료의 제로-크로싱 온도와 대략 동일하게 되도록 생성하는,
    리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법.
KR1020187012922A 2015-10-06 2016-10-05 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법 KR20180059936A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562237732P 2015-10-06 2015-10-06
US62/237,732 2015-10-06
US201562271688P 2015-12-28 2015-12-28
US62/271,688 2015-12-28
PCT/EP2016/073704 WO2017060259A1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197032405A Division KR20190126450A (ko) 2015-10-06 2016-10-05 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법

Publications (1)

Publication Number Publication Date
KR20180059936A true KR20180059936A (ko) 2018-06-05

Family

ID=57068118

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197032405A KR20190126450A (ko) 2015-10-06 2016-10-05 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법
KR1020187012922A KR20180059936A (ko) 2015-10-06 2016-10-05 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197032405A KR20190126450A (ko) 2015-10-06 2016-10-05 리소그래피 장치의 물체를 유지하는 척과 클램프 및 리소그래피 장치의 클램프에 의해 유지되는 물체의 온도를 제어하는 방법

Country Status (7)

Country Link
US (2) US10324383B2 (ko)
JP (2) JP2018531410A (ko)
KR (2) KR20190126450A (ko)
CN (2) CN108139684B (ko)
NL (1) NL2017576A (ko)
TW (2) TWI732788B (ko)
WO (1) WO2017060259A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220000822A (ko) * 2020-06-26 2022-01-04 도쿄엘렉트론가부시키가이샤 탑재대 및 검사 장치

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018531410A (ja) 2015-10-06 2018-10-25 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
KR20210124998A (ko) * 2019-02-11 2021-10-15 에이에스엠엘 네델란즈 비.브이. 열 제어 시스템을 갖는 리소그래피 장치 및 방법
KR20210124997A (ko) * 2019-02-13 2021-10-15 에이에스엠엘 홀딩 엔.브이. 기계적 인터페이스를 위한 중간층
US11860554B2 (en) 2019-05-01 2024-01-02 Asml Netherlands B.V. Object positioner, method for correcting the shape of an object, lithographic apparatus, object inspection apparatus, device manufacturing method
US20220236649A1 (en) * 2019-05-29 2022-07-28 Asml Holding N.V. Split double sided wafer and reticle clamps
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
CN114585973A (zh) 2019-11-07 2022-06-03 Asml控股股份有限公司 在光刻设备中使用的光学部件和夹具
KR20220122634A (ko) * 2019-12-31 2022-09-02 에이에스엠엘 홀딩 엔.브이. 양면 정전 클램프를 제조하기 위한 시스템 및 방법
US11875967B2 (en) * 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
WO2022028710A1 (en) 2020-08-07 2022-02-10 Carl Zeiss Smt Gmbh Optical system and method of operating an optical system
EP4123373A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
WO2023001802A1 (en) * 2021-07-21 2023-01-26 Koninklijke Philips N.V. Imprinting apparatus
EP4123378A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123376A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123374A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68921687T2 (de) 1988-09-02 1995-08-03 Canon K.K., Tokio/Tokyo Belichtungseinrichtung.
JP3291832B2 (ja) * 1992-05-19 2002-06-17 株式会社ニコン 基板保持部材、および、露光装置
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP3291882B2 (ja) * 1993-08-13 2002-06-17 ソニー株式会社 露光方法
JPH11135407A (ja) * 1997-10-28 1999-05-21 Nikon Corp 露光方法および装置
JP4134406B2 (ja) 1998-12-04 2008-08-20 株式会社ニコン 平面モータ装置及び露光装置
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
JP2004266209A (ja) 2003-03-04 2004-09-24 Canon Inc 露光装置及びデバイスの製造方法
US6983086B2 (en) * 2003-06-19 2006-01-03 Intel Corporation Thermally isolating optical devices
JP4371822B2 (ja) 2004-01-06 2009-11-25 キヤノン株式会社 露光装置
JP4429023B2 (ja) 2004-01-07 2010-03-10 キヤノン株式会社 露光装置及びデバイス製造方法
JP2005276886A (ja) 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2005353982A (ja) * 2004-06-14 2005-12-22 Ricoh Co Ltd アライメント装置
US7327439B2 (en) * 2004-11-16 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4647401B2 (ja) * 2005-06-06 2011-03-09 東京エレクトロン株式会社 基板保持台、基板温度制御装置及び基板温度制御方法
JP2007043042A (ja) 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
JP2007142238A (ja) * 2005-11-21 2007-06-07 Nikon Corp 基板保持装置、露光装置及びデバイスの製造方法
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100798813B1 (ko) 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US8422193B2 (en) * 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7678458B2 (en) * 2007-01-24 2010-03-16 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
JP2007235171A (ja) * 2007-05-17 2007-09-13 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP2009152475A (ja) 2007-12-21 2009-07-09 Shinko Electric Ind Co Ltd 基板温調固定装置
NL1036460A1 (nl) * 2008-02-20 2009-08-24 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN102132209B (zh) * 2008-08-21 2014-07-16 Asml控股股份有限公司 具有高热传导率的euv掩模版基底
JP5355043B2 (ja) 2008-11-10 2013-11-27 キヤノン株式会社 露光装置およびデバイス製造方法
JP5510308B2 (ja) 2009-12-25 2014-06-04 旭硝子株式会社 Euvl光学部材用基材
NL2006674A (en) * 2010-08-02 2012-02-06 Asml Holding Nv Reticle cooling in a lithographic apparatus.
US9476780B2 (en) * 2011-03-11 2016-10-25 Alliance For Sustainable Energy, Llc Calorimeters for testing energy storage systems and power electronics methods of making the same and methods of use
SG188036A1 (en) * 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
JP6122856B2 (ja) * 2011-10-06 2017-04-26 エーエスエムエル ネザーランズ ビー.ブイ. チャック、リソグラフィ装置及びチャックを使用する方法
CN104412164B (zh) * 2012-05-29 2017-09-12 Asml荷兰有限公司 支撑装置、光刻装置和器件制造方法
JP2013251311A (ja) * 2012-05-30 2013-12-12 Nikon Corp 露光装置、露光方法、デバイス製造方法、プログラム、及び記録媒体
US10295080B2 (en) * 2012-12-11 2019-05-21 Schneider Electric Buildings, Llc Fast attachment open end direct mount damper and valve actuator
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
JP6526575B2 (ja) 2013-02-07 2019-06-05 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置及び方法
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
US20150228514A1 (en) 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
CN104176699A (zh) * 2014-07-18 2014-12-03 苏州能斯达电子科技有限公司 一种具有绝热沟槽的mems硅基微热板及其加工方法
TWI656596B (zh) 2014-08-26 2019-04-11 荷蘭商Asml控股公司 靜電夾具及其製造方法
JP2018531410A (ja) 2015-10-06 2018-10-25 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220000822A (ko) * 2020-06-26 2022-01-04 도쿄엘렉트론가부시키가이샤 탑재대 및 검사 장치

Also Published As

Publication number Publication date
USRE49066E1 (en) 2022-05-10
TWI733234B (zh) 2021-07-11
JP2018531410A (ja) 2018-10-25
CN108139684A (zh) 2018-06-08
WO2017060259A1 (en) 2017-04-13
CN108139684B (zh) 2021-06-18
CN110716396A (zh) 2020-01-21
CN110716396B (zh) 2022-05-31
JP7369753B2 (ja) 2023-10-26
JP2022023178A (ja) 2022-02-07
TW202006478A (zh) 2020-02-01
NL2017576A (en) 2017-04-11
US20180321602A1 (en) 2018-11-08
KR20190126450A (ko) 2019-11-11
US10324383B2 (en) 2019-06-18
TW201723676A (zh) 2017-07-01
TWI732788B (zh) 2021-07-11

Similar Documents

Publication Publication Date Title
JP7369753B2 (ja) リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
JP2018531410A6 (ja) リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
JP6618529B2 (ja) 静電クランプおよびその製造方法
JP2004214656A (ja) 伸張可能な薄膜を備える汚染バリヤ
US9752807B2 (en) Lithographic apparatus and to a reflector apparatus
KR20110046545A (ko) 높은 열전도율을 갖는 euv 레티클 기판들
KR20150143802A (ko) 방사선 수집기, 냉각 시스템 및 리소그래피 장치
US8537330B2 (en) Lithographic apparatus, device manufacturing method and computer readable medium
KR20150058455A (ko) 리소그래피 방법 및 장치
TWI539242B (zh) 微影裝置及元件製造方法
KR20150115930A (ko) 리소그래피 장치
EP1522892B1 (en) Lithographic apparatus and device manufacturing method
KR20150097715A (ko) 리소그래피 장치를 위한 기판 지지체 및 리소그래피 장치
US9285690B2 (en) Mirror, lithographic apparatus and device manufacturing method
US7489388B2 (en) Lithographic apparatus and device manufacturing method
US7106416B2 (en) Lithographic apparatus and device manufacturing method
US20100151394A1 (en) System for Contactless Cleaning, Lithographic Apparatus and Device Manufacturing Method
KR20160091979A (ko) 장치, 디바이스 및 디바이스 제조 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal