JP2018531410A - リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法 - Google Patents

リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法 Download PDF

Info

Publication number
JP2018531410A
JP2018531410A JP2018515198A JP2018515198A JP2018531410A JP 2018531410 A JP2018531410 A JP 2018531410A JP 2018515198 A JP2018515198 A JP 2018515198A JP 2018515198 A JP2018515198 A JP 2018515198A JP 2018531410 A JP2018531410 A JP 2018531410A
Authority
JP
Japan
Prior art keywords
temperature
fluid
clamp
lithographic apparatus
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018515198A
Other languages
English (en)
Other versions
JP2018531410A5 (ja
JP2018531410A6 (ja
Inventor
コエヴォエツ,エイドリアヌス,ヘンドリック
ラファーレ,レイモンド,ウィルヘルムス,ルイス
ネルソン,マイケル,レオ
デル サンデン,ヤコブス,コーネリス,ゲラルドス ヴァン
デル サンデン,ヤコブス,コーネリス,ゲラルドス ヴァン
チエダ,マイケル,アンドリュー
ウィッターダイク,タモ
オコーナー,ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
ASML Netherlands BV
Original Assignee
ASML Holding NV
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV, ASML Netherlands BV filed Critical ASML Holding NV
Publication of JP2018531410A publication Critical patent/JP2018531410A/ja
Publication of JP2018531410A6 publication Critical patent/JP2018531410A6/ja
Publication of JP2018531410A5 publication Critical patent/JP2018531410A5/ja
Priority to JP2021175870A priority Critical patent/JP7369753B2/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

リソグラフィ装置がオブジェクト(402)を受けるように構成されたクランプ(406)を備える。クランプは第1の流体温度の流体を通すように構成された少なくとも1つのチャネル(408)を画定する。リソグラフィ装置はクランプに結合されたチャック(404)も備える。チャック(404)はチャックをクランプから熱的に絶縁するように構成された少なくとも1つの空隙(464)を画定する。【選択図】 図16

Description

関連出願の相互参照
[0001] 本出願は、2016年10月6日に出願された米国仮特許出願第62/237,732号及び2016年12月28日に出願された米国仮特許出願第62/271,688号の優先権を主張し、参照によりその全体が本明細書に組み込まれる。
[0002] 本開示は、リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。このような場合、代替的にマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に対応する回路パターンを生成することができる。このパターンを、放射感応性材料(レジスト)の層を有する基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つ又は幾つかのダイの一部を含む)に結像することができる。一般的に、1枚の基板は、順次パターンが付与される隣接したターゲット部分のネットワークを含んでいる。従来のリソグラフィ装置は、パターン全体をターゲット部分に1回で露光することによって各ターゲット部分が照射される、いわゆるステッパと、基板を所与の方向(「スキャン」方向)と平行あるいは逆平行に同期的にスキャンしながら、パターンを所与の方向(「スキャン」方向)に放射ビームでスキャンすることにより、各ターゲット部分が照射される、いわゆるスキャナとを含む。パターンを基板にインプリントすることによっても、パターニングデバイスから基板へとパターンを転写することが可能である。
[0004] リソグラフィは、IC及びその他のデバイス及び/又は構造を製造する際の主要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して製造される特徴の寸法がより微細になると共に、リソグラフィは小型IC又はその他のデバイス、及び/又は構造の製造を可能にするためのより決定的なファクタになってきている。
[0005] パターン印刷の限界の理論的な推定値は式(1)に示すようなレイリーの解像基準によって得られる。
但し、λは使用される放射の波長、NAはパターンを印刷するために使用される投影システムの開口数、k1はレイリー定数とも呼ばれるプロセス依存調整係数であり、CDは印刷される特徴のフィーチャサイズ(又は、限界寸法)である。式(1)から、特徴の印刷可能な最小サイズの縮小は3つの方法で達成できることが分かる。すなわち、露光波長λの短縮によるもの、開口数NAの増加によるもの、又はk1の値の減少によるものである。
[0006] 露光波長を短くするため、したがって、最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、5〜20nmの範囲内、例えば13〜14nmの範囲内、例えば6.7nm又は6.8nmといった5〜10nmの範囲内の波長を有する電磁放射である。考えられる放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源、又は電子蓄積リングによって提供されるシンクロトロン放射に基づく放射源が含まれる。
[0007] しかしながら、このような放射源により生成される放射はEUV放射のみではなく、赤外線(IR)放射及び深紫外線(DUV)放射を含む他の波長も放出され得る。DUV放射は、コントラストの損失をもたらす可能性があるため、リソグラフィシステムに害を及ぼす可能性がある。更に、不要なIR放射は、システム内のコンポーネントに熱損傷を与える可能性がある。したがって、伝搬される放射内のEUVの割合を増加させ、DUV及びIR放射などのEUV以外の不要な放射を低減又は除去するためのスペクトル純度フィルタを用いることが知られている。
[0008] EUV放射を用いるリソグラフィ装置は、EUV放射ビームパス又はその少なくとも実質的部分がリソグラフィ動作中に真空に保持されなければならないことを要求する可能性がある。このようなリソグラフィ装置の真空領域では、パターニングデバイス及び/又は基板といったオブジェクトを、それぞれパターニングデバイステーブル及び/又は基板テーブルのチャックといったリソグラフィ装置の構造に固定するためにクランプが用いられる場合がある。
[0009] また、EUV放射を用いるリソグラフィ装置は、例えばパターニングデバイス及び/又は基板の温度調節を必要とする場合がある。EUV放射又は不要なEUV以外の放射により生じる熱は、例えばパターニングデバイス及び/又は基板が吸収する熱のため、パターニングデバイス及び/又は基板の変形をリソグラフィ動作中に生じさせる可能性がある。この変形を低減するため、クランプに冷却流体を循環させてもよい。
[0010] 一部の実施形態では、リソグラフィ装置がオブジェクトを受けるように構成されたクランプを備える。クランプは第1の流体温度の流体を通すように構成された少なくとも1つのチャネルを画定する。リソグラフィ装置は、クランプに結合されたチャックも備える。チャックはチャックをクランプから熱的に絶縁するように構成された少なくとも1つの空隙を画定する。
[0011] 一部の実施形態では、少なくとも1つの空隙は真空である。他の実施形態では、少なくとも1つの空隙に流体が充填される。
[0012] 一部の実施形態では、少なくとも1つの空隙は複数の空隙を含む。一部の実施形態では、チャックは少なくとも1つの空隙を画定する複数のバールを備える。チャックは、クランプに結合された第1の層、及び少なくとも1つの空隙を画定する複数のバールを画定する第2の層を備えてよい。
[0013] 一部の実施形態では、リソグラフィ装置は、第1の流体の温度を変化させるように構成された流体調節デバイスを備える。流体調節デバイスは、第1の流体の温度を第2の流体温度から第1の流体温度に変化させるように構成することができる。流体調節デバイスは、オブジェクトが放射で露光されるとき、第1の流体の温度を第2の流体温度から第1の流体温度に変化させるように構成することができ、第2の流体温度は第1の流体温度より高い。一部の実施形態では、第1の流体温度は、約−15℃から約15℃の範囲にあり、第2の流体温度は、約17℃から約27℃の範囲にある。一部の実施形態では、第1の流体温度は、オブジェクトが放射で露光されるときのオブジェクトのターゲット平均温度より低い。
[0014] 一部の実施形態では、オブジェクトは温度の関数として変化する熱膨張係数を有する材料を含み、オブジェクトの材料の熱膨張係数はオブジェクトのゼロ交差温度においてほぼゼロである。第1の流体温度は、オブジェクトが放射で露光されるときのオブジェクトの平均温度がオブジェクトのゼロ交差温度とほぼ等しくなるような温度であってよい。第1の流体温度はまた、オブジェクトが放射で露光されるときのオブジェクトの内力が、オブジェクトを保持するクランプの表面と垂直な方向に実質的に対称となるような温度であってよい。第1の流体温度はまた、オブジェクトが放射で露光されるときのオブジェクトの内力の合計がほぼゼロに等しくなるような温度であってよい。
[0015] 一部の実施形態では、少なくとも1つのチャネルは複数のチャネルを含み、オブジェクトはパターニングデバイスである。
[0016] 一部の実施形態では、リソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法がオブジェクトを放射で露光することを含む。方法はまた、第1の流体温度の流体を、クランプによって画定される少なくとも1つのチャネルに通してクランプの温度を調節することを含む。クランプは、チャックをクランプから熱的に絶縁するように構成された少なくとも1つの空隙を画定するチャックと結合される。
[0017] 一部の実施形態では、方法はまた、第1の流体温度の流体をクランプによって画定される少なくとも1つのチャネルに通す前に、第2の流体温度の流体をクランプによって画定される少なくとも1つのチャネルに通してクランプの温度を調節することを含む。方法はまた、第2の流体温度の流体をクランプによって画定される少なくとも1つのチャネルに通した後に、流体の第2の流体温度を流体の第1の流体温度に変化させることを含む。第2の流体温度は第1の流体温度より高い。
[0018] 一部の実施形態では、第1の流体温度は約−15℃から約15℃の範囲に調節され、第2の流体温度は約17℃から約27℃の範囲に調節される。一部の実施形態では、第1の流体温度は約−8℃に調節され、第2の流体温度は約22℃に調節される。一部の実施形態では、第1の流体温度はオブジェクトを放射で露光するときのオブジェクトの平均温度未満に調節される。
[0019] 一部の実施形態では、オブジェクトは温度の関数として変化する熱膨張係数を有する材料を含み、オブジェクトの材料の熱膨張係数は、ゼロ交差温度においてほぼゼロである。そして、第1の流体温度の流体を少なくとも1つのチャネルに通すことによって、オブジェクトを放射で露光するときのオブジェクトの材料のゼロ交差温度とほぼ等しい平均温度が生じる。
[0020] 一部の実施形態では、第1の流体温度は、オブジェクトが放射で露光されるときのオブジェクトの内力がオブジェクトを保持するクランプの表面と垂直な方向に実質的に対称となるような温度である。一部の実施形態では、第1の流体温度は、オブジェクトが放射で露光されるときのオブジェクトの内力の合計がほぼゼロに等しくなるような温度である。一部の実施形態では、オブジェクトはパターニングデバイスである。
[0021] 本発明の別の特徴及び利点並びに本発明の様々な実施形態の構造及び作用は、添付の図面を参照して以下に詳細に説明する。本発明は、本明細書に記載する特定の実施形態に限定されないことに留意されたい。このような実施形態は、例示のみを目的として本明細書に記載されている。本明細書に含まれる教示に基づいて当業者はさらなる実施形態を容易に思い付くであろう。
[0022] 本明細書に組み込まれ、その一部を形成する添付の図面は本発明を図示し、説明とともに、更に実施形態の原理を説明し、当業者が本発明を作成して使用できるようにする働きをする。
[0023] ある実施形態に係る、反射型リソグラフィ装置の概略図である。 [0024] 別の実施形態に係る、反射型リソグラフィ装置の概略図である。 [0025] ある実施形態に係る、オブジェクトを保持するチャック及びクランプの断面図を概略的に示す。 [0026] ある実施形態に係る、オブジェクトに入射する放射ビームの露光パワーを時間の関数としてグラフによって表した上図、及びクランプの別個のチャネルを通過する冷却流体の温度を時間の関数としてグラフによって表した下図を示す。 [0027] ある実施形態に係る、温度の関数として変化する材料の熱膨張係数をグラフによって表した図を示す。 [0028] ある実施形態に係る、(図5に示した)温度依存性熱膨張係数を有する材料を含むオブジェクトに発生した内力を温度の関数としてグラフによって表した図を示す。 [0029] ある実施形態に係る、様々な時点におけるオブジェクトの露光表面に垂直な方向に沿ったオブジェクト及びクランプの温度をグラフによって表す。 [0030] ある実施形態に係る、図5に示した温度依存性熱膨張係数を有する材料を含むオブジェクトに発生する内力及び図7に示した温度分布を示す図を示す。 [0031] 別の実施形態に係る、図8に示した温度分布によって発生した内力を概略的に示す、図3のオブジェクトを保持するチャック及びクランプの断面図である。 [0032] ある実施形態に係る、様々な時点における基板に露光されたパターンの生のオーバーレイエラーをグラフによって表す。 [0033] 別の実施形態に係る、様々な時点における基板に露光されたパターンの生のオーバーレイエラーをグラフによって表す。 [0034] ある実施形態に係る、ペリクルを有する図3のオブジェクトを保持するチャック及びクランプの断面図を概略的に示す。 [0035] ある実施形態に係る、様々な時点における放射に露光された図12のオブジェクトの表面の温度をグラフによって表す。 [0036] ある実施形態に係る、温度依存性熱膨張係数を有する材料を含むオブジェクトに発生した熱的な内力、及び変形されていない状態でのオブジェクトの温度より高いゼロ交差温度を示す図を示す。 [0037] ある実施形態に係る、オブジェクトを保持するクランプの断面図を概略的に示す。 [0038] 別の実施形態に係る、オブジェクトを保持するチャック及びクランプの断面図を概略的に示す。
[0039] 本発明の特徴及び利点は、同様の参照符号は全体を通して対応する要素を識別する図面を参照しながら以下の詳細な説明を読むことで更に明白になろう。図面では、一般に、同様の参照番号が同一の、機能が類似した、及び/又は構造が類似する要素を示す。ある要素が最初に出現する図面は、対応する参照番号の左端の1つ又は複数の数字によって示される。他に示されない限り、本開示を通じて提供される図面は縮尺通りの図面として解釈されるべきではない。
[0040] 本明細書は、本発明の特徴を組み込んだ1つ以上の実施形態を開示する。開示される1つ又は複数の実施形態は本発明を例示するにすぎない。本発明の範囲は開示される1つ又は複数の実施形態に限定されない。本発明は、本明細書に添付される特許請求の範囲によって定義される。
[0041] 記載された実施形態、及び本明細書で「一実施形態」、「ある実施形態」、「例示的実施形態」などに言及した場合、それは記載された実施形態が特定の特徴、構造、又は特性を含むことができるが、それぞれの実施形態が必ずしも特定の特徴、構造、又は特性を含まないことがあることを示す。更に、このようなフレーズは、必ずしも同じ実施形態に言及するものではない。更に、ある実施形態に関連して特定の特徴、構造、又は特性について記載している場合、明示的に記載されているか、記載されていないかにかかわらず、このような特徴、構造、又は特性を他の実施形態との関連で実行することが当業者の知識の範囲内にあることが理解される。
[0042] このような実施形態を詳述する前に、本発明の実施形態を実施することができる例示の環境を提示することが有用であろう。
例示的な反射型リソグラフィシステム
[0043] 図1は、一実施形態によるソースコレクタ装置SOを含むリソグラフィ装置100を概略的に示す。この装置は、放射ビームB(例えば、EUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスク又はレチクル)MAを支持するように構成され、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続された支持構造(例えば、マスクテーブル)MTと、基板Wを保持するように構成され、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)に投影するように構成された投影システム(例えば、反射投影システム)PSとを含む。
[0044] 照明システムは、放射を誘導し、整形し、又は制御するための、屈折型、反射型、磁気型、電磁型、静電型、又はその他のタイプの光学コンポーネント、あるいはそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含むことができる。
[0045] 支持構造MTは、パターニングデバイスの配向、リソグラフィ装置の設計及び、例えばパターニングデバイスが真空環境で保持されているか否か等の条件に応じた方法でパターニングデバイスMAを保持する。支持構造は、機械式、真空式、静電式又はその他のクランプ技術を用いて、パターニングデバイスを保持することができる。支持構造は、例えば、必要に応じて固定又は可動式にできるフレーム又はテーブルであってもよい。支持構造は、パターニングデバイスが例えば投影システムに対して確実に所望の位置に来るようにしてもよい。
[0046] 「パターニングデバイス」という用語は、基板のターゲット部分にパターンを生成するように、放射ビームの断面にパターンを付与するために使用し得る任意のデバイスを指すものとして広義に解釈されるべきである。放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイスの特定の機能層に相当する。
[0047] パターニングデバイスは、(図1のリソグラフィ装置100のように)反射性であっても、又は透過性であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルが含まれる。マスクはリソグラフィ分野では周知であり、これには、バイナリマスク、レベンソン型(alternating)位相シフトマスク、ハーフトーン型(attenuated)位相シフトマスクのようなマスクタイプ、更には様々なハイブリッドマスクタイプも含まれる。プログラマブルミラーアレイの一例として、小型ミラーのマトリクス配列を使用し、各小型ミラーを個別に傾斜させて入射する放射ビームを様々な方向に反射させることができる。傾斜したミラーは、ミラーマトリクスによって反射される放射ビームにパターンを付与する。
[0048] 投影システムは、照明システムと同様に、使用される露光放射に適した、屈折型、反射型、磁気型、電磁型、静電型又は他のタイプの光学コンポーネント、又はそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含むことができる。真空の使用などの他の要因については、他のガスが多くの放射線を吸収し過ぎる可能性があるので、EUV放射のために真空を使用することが望ましい場合がある。したがって、真空環境は、真空壁及び真空ポンプの助けを借りてビーム経路全体に提供してもよい。
[0049] 本明細書で示すように、本装置は透過タイプである(例えば透過マスクを使用する)。
[0050] リソグラフィ装置は、2つ(デュアルステージ)又はそれ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプでよい。このような「マルチステージ」機械においては、追加のテーブルを並行して使用するか、1つ以上の他のテーブルを露光に使用している間に1つ以上のテーブルで予備工程を実行することができる。
[0051] 図1を参照すると、イルミネータILは、ソースコレクタ装置SOから極端紫外線放射ビームを受ける。EUV光を生成する方法には、材料を、例えば、キセノン、リチウム又はスズなど少なくとも1つの元素を有し、EUV範囲内の1つ以上の輝線を有するプラズマ状態へと変換することが含まれるが、必ずしもこれに限定されない。そのような方法のうちの1つであり、しばしばレーザ生成プラズマ(「LPP」)と呼ばれる方法では、所望の線発光元素を有する材料の小滴、流れ又はクラスタなどの燃料をレーザビームで照射することにより所望のプラズマを生成することができる。ソースコレクタ装置SOは、燃料を励起するレーザビームを提供するためのレーザ(図1中図示なし)を含むEUV放射システムの一部であってよい。結果として生じるプラズマは、例えばEUV放射などの出力放射を放出し、この出力放射はソースコレクタ装置内に配置される放射コレクタを使って集光される。例えば、COレーザを使用して燃料励起のためのレーザビームを提供する場合、レーザとソースコレクタ装置とは別個の構成要素とすることができる。
[0052] このような場合、レーザはリソグラフィ装置の一部を形成するとは見なされず、例えば、適切な誘導ミラー及び/又はビームエキスパンダを備えるビームデリバリシステムを用いてレーザビームはレーザからソースコレクタ装置へ渡される。
[0053] 放電生成プラズマ(「DPP」)と呼ばれることが多い代替方法では、放電を用いてEUV放出プラズマが生成され、燃料が気化される。燃料は、EUV範囲に1つ以上の輝線を有するキセノン、リチウム、又はスズなどの元素でよい。放電は、ソースコレクタ装置の一部を形成してもよい、又は電気接続を介してソースコレクタ装置に接続される別個の要素であってもよい電源によって生成されてもよい。
[0054] イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタを備えることができる。一般に、イルミネータの瞳面における強度分布の少なくとも外側及び/又は内側半径範囲(一般にそれぞれσ−outer及びσ−innerと呼ばれる)を調節することができる。また、イルミネータILは、ファセットされたフィールド及び瞳ミラーデバイスなどの様々な他のコンポーネントを含むことができる。イルミネータは、放射ビームを調節して、その断面にわたって所望の均一性と強度分布とが得られるようにしてもよい。
[0055] 放射ビームBは、支持構造(例えば、マスクテーブル)MT上に保持されたパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターン形成される。パターニングデバイス(例えばマスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSはビームを基板Wのターゲット部分C上に合焦させる。第2のポジショナPW及び位置センサPS2(例えば、干渉計装置、リニアエンコーダ又は容量センサ)の助けを借りて、基板テーブルWTは、例えば、異なるターゲット部分Cを放射ビームBの経路に位置決めするように正確に移動させることができる。同様に、第1のポジショナPM及び別の位置センサPS1を使用して、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることができる。パターニングデバイス(例えば、マスク)MA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用して位置合わせすることができる。
[0056] 図示のリソグラフィ装置は、以下のモードのうち少なくとも1つにて使用可能である。
[0057] ステップモードでは、支持構造(例えばマスクテーブル)MT及び基板テーブルWTは、基本的に静止状態に維持される一方、放射ビームに与えたパターン全体が1回でターゲット部分Cに投影される(すなわち単一静的露光)。次に、別のターゲット部分Cを露光できるように、基板テーブルWTがX方向及び/又はY方向に移動される。
[0058] スキャンモードでは、支持構造(例えばマスクテーブル)MT及び基板テーブルWTは同期的にスキャンされる一方、放射ビームに付与されるパターンがターゲット部分Cに投影される(すなわち単一動的露光)。支持構造(例えばマスクテーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPSの拡大(縮小)及び像反転特性によって求めることができる。
[0059] 別のモードでは、支持構造(例えばマスクテーブル)MTはプログラマブルパターニングデバイスを保持して基本的に静止状態に維持され、基板テーブルWTを移動又はスキャンさせながら、放射ビームに与えられたパターンをターゲット部分Cに投影する。このモードでは、一般にパルス状放射源を使用して、基板テーブルWTを移動させるごとに、又はスキャン中に連続する放射パルス間で、プログラマブルパターニングデバイスを必要に応じて更新する。この動作モードは、以上で言及したようなタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用できる。
[0060] 上述した使用モードの組み合わせ及び/又は変形、又は全く異なる使用モードも利用できる。
[0061] 図2は、ソースコレクタ装置SOと、照明システムILと、投影システムPSとを備えるリソグラフィ装置100をより詳細に示す。ソースコレクタ装置SOは、ソースコレクタ装置SOの閉鎖構造220内に真空環境を維持できるように構築され、配置される。EUV放射放出プラズマ210は、放電生成プラズマ源によって形成されてもよい。EUV放射は、超高温プラズマ210が生成されて電磁スペクトルのEUV範囲内の放射が放出される、例えばキセノンガス、リチウム蒸気、又はスズ蒸気などの気体又は蒸気によって生成されてもよい。超高温プラズマ210は、例えば少なくとも部分的にイオン化されたプラズマを生じる放電によって生成される。放射を効率的に生成するには、キセノン、リチウム、スズ蒸気、又はその他の適切な気体又は蒸気の、例えば10Paの分圧が必要である場合がある。ある実施形態では、EUV放射を生成するために励起したスズ(Sn)のプラズマが提供される。
[0062] 高温プラズマ210によって放出された放射は、ソースチャンバ211から、ソースチャンバ211内の開口内、又はその裏側に位置する任意選択のガスバリア又は汚染物質トラップ230(場合によっては汚染物質バリア又はフォイルトラップとも呼ばれる)を介してコレクタチャンバ212内に送られる。汚染物質トラップ230はチャネル構造を含んでもよい。汚染物質トラップ230はまた、ガスバリア又はガスバリアとチャネル構造の組み合わせを含んでもよい。本明細書で示される汚染物質トラップ又は汚染物質バリア230は更に、少なくとも当技術分野で既知のチャネル構造を含む。
[0063] コレクタチャンバ212は、いわゆる斜入射型コレクタであってもよい放射コレクタCOを含んでもよい。放射コレクタCOは、上流放射コレクタ側251と、下流放射コレクタ側252とを有する。コレクタCOを横切る放射は、格子スペクトルフィルタ240から反射して、仮想光源点IFで合焦することができる。仮想光源点IFは一般に中間焦点と呼ばれ、ソースコレクタ装置は、中間焦点IFが閉鎖構造220内の開口219に、又はその近傍に位置するように配置される。仮想光源点IFは放射放出プラズマ210の像である。格子スペクトルフィルタ240は、特に赤外線(IR)放射を抑制するために使用される。
[0064] この後、放射は照明システムILを横断する。照明システムILは、パターニングデバイスMAにおいて放射ビーム221の所望の角度分布を与えると共にパターニングデバイスMAにおいて所望の放射強度均一性を与えるように配置されたファセットフィールドミラーデバイス222及びファセット瞳ミラーデバイス224を含むことができる。支持構造MTによって保持されたパターニングデバイスMAで放射ビーム221が反射されると、パターン付きビーム226が形成され、このパターン付きビーム226は、投影システムPSにより、反射要素228、230を介して、ウェーハステージ又は基板テーブルWTにより保持された基板W上に結像される。
[0065] 一般に、照明光学ユニットIL及び投影システムPSには、図示するよりも多くの要素が存在し得る。格子スペクトルフィルタ240は、リソグラフィ装置のタイプに応じて任意に存在し得る。更に、図示するよりも多くのミラーが存在する場合があり、例えば投影システムPSには、図2に示すものに対して1つから6つの追加の反射要素が存在することがある。
[0066] 図2に示すようなコレクタ光学部品COは、コレクタ(又はコレクタミラー)の単なる一例として、かすめ入射リフレクタ253、254及び255を有する入れ子状のコレクタとして示される。かすめ入射リフレクタ253、254及び255は、光軸Oを中心として軸方向に対称に配置され、このタイプのコレクタ光学部品COは、DPP源と呼ばれることが多い放電生成プラズマ源と組み合わせて用いることが好ましい。
オブジェクト支持体の例示的な実施形態
[0067] 一部の実施形態では、リソグラフィ装置の支持体はオブジェクトを保持し、オブジェクトの温度を制御するように構成される。支持体は上記図1及び図2で説明した基板Wを保持するように構成された基板テーブルWT、又はパターニングデバイスMAを保持するように構成された支持構造MTであってよい。オブジェクトは例えば上記のマスク又はレチクルなどのパターニングデバイスであってよく、オブジェクトは例えば上記のウェーハなどの基板であってもよい。オブジェクトがパターニングデバイスである一部の実施形態では、パターニングデバイスはパターニングデバイスの表面に入射する放射ビームにパターンを付与する。オブジェクトがクランプに固定されると、オブジェクトの表面は放射ビームを受ける。オブジェクトは、入射放射ビームで露光されると、放射ビームからパワーを吸収し、熱くなる可能性がある。オブジェクトが熱くなると、オブジェクトの各部が膨張及び変形する可能性がある。一部の実施形態では、オブジェクトの変形を防ぐ又は抑えるために、支持体は、オブジェクトが種々の実施形態により実質的に室温(例えば、約22℃)、又は他の任意の規定動作温度に保持されるように調節するように構成することができる。クランプはヒートシンクとして機能するように構成され、クランプは、オブジェクトのこの温度制御を達成するために、オブジェクトのターゲット平均温度よりも低い温度に維持されるように構成することができる。一部の実施形態では、クランプは、ターゲット温度に調節された流体を、クランプによって画定される少なくとも1つのチャネルに通すことによってオブジェクトのターゲット温度より低い温度に維持される。しかし時間が経つと、少なくとも1つのチャネルを通過する流体の冷却力はまたチャックを冷却し、チャックの変形が生じ、ひいてはクランプ及びオブジェクトの変形を引き起こすことになる。オブジェクトが反射面を有するパターニングデバイスである実施形態では、反射面の各部が変形し、基板ウェーハにおける望ましくない像歪みが発生する可能性がある。また、オブジェクトの変形は、チャックとオブジェクトの間にスリップを引き起こす可能性がある。更に、チャック変形はそれ自体が、チャック位置決め誤差、そして結果として基板ウェーハにおけるイメージオーバーレイエラーをもたらすチャックメトロロジの変化を引き起こす可能性もある。
[0068] このようなクランプ固定されたオブジェクトの変形、及びチャックの変形に起因するチャック位置決め誤差を取り除く又は低減するために、リソグラフィ装置のオブジェクトを保持するように構成された支持体は、ある実施形態において、(1)チャックをターゲット温度に調節するための流体を通すように構成された少なくとも1つのチャネル、及び(2)オブジェクトをターゲット温度に調節するための流体を通すように構成された少なくとも1つの別個のチャネルの両方を有するクランプを備えてよい。図3は、そのような一実施形態に係るリソグラフィ装置の一部分の断面図を示す。
[0069] 図3は、オブジェクト402の温度を保持及び制御し、オブジェクト402及びチャック404の温度を制御するように構成された支持体400を示す。支持体400は、上記図1及び図2で説明した基板Wを保持するように構成された基板テーブルWT、又はパターニングデバイスMAを保持するように構成された支持構造MTであってよい。したがって、オブジェクト402は、例えば上記のマスク又はレチクルなどのパターニングデバイスMAであってよく、オブジェクト402は、例えば上記のウェーハなどの基板Wであってもよい。オブジェクト402がパターニングデバイスである一部の実施形態では、パターニングデバイス402は入射放射ビーム403にパターンを付与する。
[0070] 一部の実施形態では、支持体400はチャック404と、チャック404に結合されたクランプ406とを備える。例えば、クランプ406はチャック404に接合されてよい。クランプ406は、オブジェクト402がチャック404と共に移動するようにオブジェクト402をチャック404に選択的に結合するように構成される。一部の実施形態では、クランプ406は静電クランプである。例えばクランプ406は、オブジェクト402を所定の位置に保持する静電場を生成するように構成することができる。そのような静電実施形態では、クランプ406はこのような静電場を生成する電極(図示せず)を備えてよい。
[0071] クランプ406は、オブジェクト402(例えば基板W又はパターニングデバイスMA)を受けるように構成された取付け面416を画定する。一部の実施形態では、取付け面416は図3に示すように平面である。他の実施形態(図示せず)では、取付け面416は非平面である。例えば取付け面416は、クランプ406のクランプ動作中にオブジェクト402と接触するように構成された突出バールを有してよい。
[0072] 一部の実施形態では、クランプ406は図3に示すような単層で作られる。他の実施形態(図示せず)では、クランプ406は複数の層で作られてもよい。
[0073] 一部の実施形態では、クランプ406は、上記のようにクランプ406の動作中に静電場を支持するように構成された1つ以上の誘電体材料から構成される。一部の実施形態では、誘電体材料はゼロ又は実質的にゼロである超低熱膨張係数を有してよい。超低熱膨張係数を有する材料は、以下に限定されないが、超低膨張シリコン系材料(例えばコーニング(Corning)製造のULE(登録商標)ガラス)、ガラス材料、セラミック材料、シリコン系ガラスセラミック材料(例えばショット(SCHOTT)製造のZERODUR(登録商標)ガラスセラミック)又はこれらの組み合わせを含む。超低熱膨張係数を有する材料を使用することは、クランプ動作中にオブジェクト402に伝達される可能性があるクランプ406内の熱応力を低減するのに役立つ可能性がある。
[0074] 一部の実施形態では、クランプ406は、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成される。図5は、ある実施形態に係る、そのような1つの材料の熱膨張係数を温度の関数としてグラフによって表す。図5を参照すると、熱膨張係数がほぼゼロになる温度はゼロ交差温度TZCと呼ばれる。この関数が図5に示すように実質的に線形である場合、クランプ406内に生じる内力は、図6に示すような温度の二次多項式関数となり、図6は、材料の膨張に起因するクランプ406の熱的な内力Fを温度の関数として示す例示的な図を示す。図6に示すように、材料の温度が材料のゼロ交差温度TZCにほぼ等しい場合、クランプ406内に生じる熱的な内力はほぼゼロである。F=0は、材料が構成する各コンポーネント、例えばオブジェクト402又はクランプ406の変形されていない状態(所望の又は較正された形状)に対して定義される。図6の実施形態では、コンポーネント、例えばオブジェクト402又はクランプ406の変形されていない状態での温度は、コンポーネントを構成する材料のゼロ交差温度にほぼ等しい。材料の温度がゼロ交差温度TZCから離れるにつれて、クランプ406に生じる内力は、ゼロ交差温度TZCと交差する垂直軸に関して対称に二次的に増大する。
[0075] 一部の実施形態では、クランプ406は、温度の関数として変化する熱膨張係数を有し、クランプ406が変形されていない温度(例えば、室温又は約22℃)又はリソグラフィ装置の他の任意のターゲット動作温度からかなり外れたゼロ交差温度を有する材料から構成される。他の実施形態では、クランプ406は、温度の関数として変化する熱膨張係数を有し、約室温未満又は約室温を超える(例えば、約22℃未満又は約22℃を超える)ゼロ交差温度を有する材料から構成される。一部の実施形態では、クランプ406は、温度の関数として変化する熱膨張係数を有し、(一部の実施形態において、同様に温度の関数として変化する熱膨張係数を有する材料から構成されてよい)オブジェクト402を構成する材料のゼロ交差温度未満のゼロ交差温度を有する材料から構成される。
[0076] 図3を再度参照すると、一部の実施形態では、クランプ406は約4mm〜約12mmの範囲の厚さ(つまり、取付け面416に垂直な方向の)を有する。例えば、一部の実施形態では、クランプ406は約8mmの厚さを有する。一部の実施形態では、クランプ406はオブジェクト402の厚さより大きい厚さを有する。
[0077] 一部の実施形態では、オブジェクト402は、ゼロ又は実質的にゼロの超低熱膨張係数を有する1つ以上の材料から構成される。超低熱膨張係数を有する材料は、以下に限定されないが、超低膨張シリコン系材料(例えばコーニング(Corning)製造のULE(登録商標)ガラス)、ガラス材料、セラミック材料、シリコン系ガラスセラミック材料(例えばショット(SCHOTT)製造のZERODUR(登録商標)ガラスセラミック)又はこれらの組み合わせを含む。
[0078] 一部の実施形態では、オブジェクト402は、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成される。繰返しになるが、図5は、ある実施形態に係る、そのような1つの材料の熱膨張係数を温度の関数としてグラフによって表す。図5を参照すると、熱膨張係数がほぼゼロになる温度はゼロ交差温度TZCと呼ばれる。この関数が図5に示すように実質的に線形である場合、クランプ402内に生じる熱的な内力は、図6に示すような温度の二次多項式関数として大幅に変化する。一部の実施形態では、オブジェクト402は、温度の関数として変化する熱膨張係数を有し、ほぼ室温(例えば、約22℃)又はリソグラフィ装置の他の任意のターゲット動作温度に等しいゼロ交差温度を有する材料から構成される。他の実施形態では、オブジェクト402は、温度の関数として変化する熱膨張係数を有し、約室温未満又は約室温を超える(例えば、約22℃未満又は約22℃を超える)ゼロ交差温度を有する材料から構成される。一部の実施形態では、オブジェクト402は、温度の関数として変化する熱膨張係数を有し、(上記のように一部の実施形態において、同様に温度の関数として変化する熱膨張係数を有する材料から構成されてよい)クランプ406を構成する材料のゼロ交差温度を超えるゼロ交差温度を有する材料から構成される。オブジェクト402の変形されていない状態での温度がオブジェクト402を構成する材料のゼロ交差温度にほぼ等しい図6を参照すると、オブジェクト402の一部分の温度がゼロ交差温度TZCにほぼ等しい場合、このときオブジェクト402内に生じる内力はほぼゼロである。オブジェクト402の一部分の温度が材料のゼロ交差温度TZCから離れるにつれて、オブジェクト402に生じる内力は、ゼロ交差温度TZCと交差する垂直軸に関して対称に二次的に増大する。
[0079] 他の実施形態では、オブジェクト402は、温度の関数として変化する超低熱膨張係数を有する材料から構成され、この材料のゼロ交差温度は、変形されていない状態(所望の又は較正された形状)のオブジェクト402の温度より高い。例えば、オブジェクト402を構成する材料のゼロ交差温度は約30℃であってよく、変形されていない状態のオブジェクト402の温度は約20℃であってよい。図14は、そのような一実施形態に係る、材料の膨張に起因するオブジェクト402内に生じる内力を温度の関数として示す。
[0080] 一部の実施形態では、オブジェクト402は、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成され、クランプ406は、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成される。このような実施形態の一部では、オブジェクト402を構成する1つ以上の材料のゼロ交差温度は、クランプ406を構成する1つ以上の材料のゼロ交差温度より高い。例えば、一部の実施形態では、オブジェクト402を構成する材料のゼロ交差温度は約22℃であり、クランプ406を構成する材料のゼロ交差温度は約8℃である。他の実施形態では、オブジェクト402を構成する1つ以上の材料のゼロ交差温度は、クランプ406を構成する1つ以上の材料のゼロ交差温度より低い。更に別の実施形態では、オブジェクト402を構成する1つ以上の材料のゼロ交差温度は、クランプ406を構成する1つ以上の材料のゼロ交差温度にほぼ等しい。
[0081] オブジェクト402をクランプ406に固定すると、オブジェクト402の表面412はクランプ406の取付け面416に隣接し、オブジェクト402の表面412に対向する表面414はクランプ406及びチャック404に背を向ける。オブジェクト402の表面414は放射ビーム403を受ける。オブジェクト402は、入射放射ビーム403に露光されると、放射ビーム403からパワーを吸収し、熱くなる可能性がある。例えば、放射ビーム403は、オブジェクト402における吸収パワーが例えば3〜500ワット(例えば28ワット又は80ワット)となり得るようにターゲット消費電力を供給することができる。一部の実施形態では、放射ビーム403の源は、上記の投影システムPS及び/又は動作中のリソグラフィ装置100の他のシステムである。
[0082] 放射ビーム403からの熱の吸収に起因するオブジェクト402の変形を防ぐ又は抑えるために、支持体400は種々の実施形態により、オブジェクト402が実質的に室温(例えば、約22℃)、又は他の任意の規定動作温度に保持されるように調節するように構成することができ、かつ、チャック404が実質的に室温(例えば、約22℃)、又は他の任意の規定動作温度に保持されるように調節するように構成することができる。一部の実施形態では、クランプ406は、オブジェクト402のためのヒートシンクとして機能するように構成される。例えばオブジェクト402を受けるクランプ406の一部分は、オブジェクト402のこの温度制御を達成するために、オブジェクト402のターゲット平均温度よりも低い(例えば約22℃未満の)温度に維持されるように構成することができる。例えば、オブジェクト402のターゲット平均温度が約22℃(例えば、オブジェクト402を構成する材料のゼロ交差温度程度)である場合、オブジェクト402を受けるクランプ406の一部分、例えばクランプ406の取付け面416を有する部分は、約22℃未満の温度、例えば約−8℃に維持することができる。クランプ406とオブジェクト402の接触(例えば、クランプ406の取付け面416とオブジェクト402の表面412の接触)を通じて、オブジェクト402からクランプ406に熱が伝達する可能性がある。
[0083] 一部の実施形態では、オブジェクト402を受けるクランプ406の一部分は、クランプ406によって画定される少なくとも1つのチャネル408に流体を通すことによって、オブジェクト402のターゲット平均温度より低い温度に維持され、これによってクランプ406の受け部の温度を調節する。図3に示すように、一部の実施形態では、クランプ406は複数のチャネル408を画定することができる。他の実施形態(図示せず)では、クランプ406は単一のチャネル408を画定する。チャネル408は、調節された流体をクランプ406を通して循環させるように構成される。一部の実施形態では、チャネル408に流体を通すことによって、クランプ406のオブジェクト402を受ける部分を、オブジェクト402のターゲット平均温度より低い実質的に一定の温度に維持する。こうすることによって、クランプ406はオブジェクト402からの熱を連続的に除去する。一部の実施形態では、チャネル408は、クランプ406の取付け面416と平行して延びるように構成することができる。一部の実施形態では、流体(すなわち、液体又は気体)は水、空気、アルコール、グリコール、相変化冷却剤(例えばフロン、二酸化炭素)、又はその組み合わせである。
[0084] 一部の実施形態では、支持体400は、チャネル408に結合され、チャネル408からクランプ406に入る前に流体の特性、例えば温度を調節する流体調節デバイス410を備える。一部の実施形態では、流体調節デバイス410は、例えばペルチェクーラや他の任意の適切な熱電冷却デバイスといった1つ以上の熱電冷却デバイスを備える。他の実施形態では、流体調節デバイス410は、例えばシェルアンドチューブ熱交換器、平板熱交換器、又は他の任意の適切な熱交換器といった1つ以上の熱交換器を備える。一部の実施形態では、流体調節デバイス410は、1つ以上の熱電冷却デバイスと1つ以上の熱交換器の組み合わせを備える。
[0085] 一部の実施形態では、チャネル408を通過する流体は再循環される。例えば流体はチャネル408及びクランプ406を出た後、チャネル408を通ってクランプ406に入る前に1つ以上の導管を通って流体調節デバイス410に送り返される。他の実施形態では、チャネル408を通過する流体は再循環されず、流体調節デバイス410の上流の流体源を源とする。
[0086] 一部の実施形態では、流体調節デバイス410の冷却力は、例えばコントローラ413から受信する制御信号に基づいて調整可能である。このような実施形態では、クランプ406内のチャネル408に入る流体の温度は選択的に調整することができる。チャネル408に入る流体の温度を選択的に調整することによって、クランプ406の表面416の温度が変化し、結果としてオブジェクト402の表面412の温度が変化する。例えば図4は、ある実施形態に係る、チャネル408に入る流体の温度調整可能な制御を示す。例えば、図3及び図4を合わせて参照すると、コントローラ413は制御信号を流体調節デバイス410に送信することができる。第1の時間tにおいて、流体調節デバイス410は、チャネル408に入る流体の温度Tを調節して第1の温度とする。次に、後続の時間tにおいて、コントローラ413は、時間tにおいて流体調節デバイス410がチャネル408に入る流体の温度Tを調節して、第1の温度と異なる第2の温度となるように、流体調節デバイス410に制御信号を送信することができる。一部の実施形態では、図4に示すように、チャネル408に入る流体の第2の温度はチャネル408に入る流体の第1の温度よりも低い。例えば、時間tにおけるチャネル408に入る流体の第1の温度は、ほぼ室温(例えば約22℃)であってよく、時間tにおけるチャネル408に入る流体の第2の温度は、例えば約−8℃であってよい。一部の実施形態では、時間tにおけるチャネル408に入る流体の第1の温度は、約17℃〜約27℃の範囲、例えば約22℃である。一部の実施形態では、時間tにおけるチャネル408に入る流体の第2の温度は、約−15℃〜約15℃の範囲、例えば約−8℃又は2℃である。
[0087] 一部の実施形態では、時間tにおけるチャネル408に入る流体の第2の温度は、オブジェクト402デバイスが放射ビーム403で露光されるときのオブジェクト402のターゲット平均温度(例えば、オブジェクト402を構成する材料のゼロ交差温度程度又は約22℃)より低い。
[0088] 一部の実施形態(図4に示さず)では、時間tにおけるチャネル408に入る流体の第2の温度は、時間tにおけるチャネル408に入る流体の第1の温度より高い。
[0089] 一部の実施形態では、チャネル408に入る流体の温度が第1の温度から第2の温度に調整される時間tは、図4に示すオブジェクト402が放射ビーム403による露光による加熱力を受ける時間と一致する。他の実施形態(図4に示さず)では、時間tは、図4に示すオブジェクト402が放射ビーム403による露光による加熱力を受ける時間と一致しない。
[0090] 一部の実施形態では、時間tにおけるクランプ406のチャネル408に入る流体の第2の温度は、クランプ406が、オブジェクト402がオブジェクト402を構成する材料のゼロ交差温度にほぼ等しい平均温度となるように調節する温度である。例えば、オブジェクト402を構成する材料のゼロ交差温度が約22℃である場合、時間tにおけるチャネル408に入る流体の第2の温度は、クランプ406が、オブジェクト402が約22℃の平均温度となるように調節する温度、すなわちオブジェクト402を構成する材料のゼロ交差温度である。
[0091] オブジェクト402を調節して、オブジェクト402を構成する材料のゼロ交差温度にほぼ等しい平均温度にする一部の実施形態では、熱的な内力に起因する内部曲げモーメントの合計は、(例えば図8及び図14の両方の実施形態に示すように)ほぼゼロに等しい。また、内部曲げモーメントの合計がほぼゼロに等しいことに加え、一部の実施形態では(例えば図14に示すように、オブジェクト402を構成する材料のゼロ交差温度が変形されていない状態でのオブジェクト402の温度より高い場合)、熱的な内力の合計はほぼゼロに等しくてよい。このような実施形態(すなわち内部モーメントの合計がほぼゼロに等しい、及び/又は熱的な内力の合計がほぼゼロに等しい実施形態)では、オブジェクト402の変形は低減する可能性がある(したがって、修正可能な変形形状が小さくかつ良好になる可能性がある)。オブジェクト402がオブジェクト402を構成する材料のゼロ交差温度にほぼ等しい平均温度となるように調節することの1つの利点は、露光熱負荷の空間的変動に対する感度を低下させることができる点である。オブジェクト402がオブジェクト402を構成する材料のゼロ交差温度にほぼ等しい平均温度となるように調節することのもう1つの利点は、オブジェクト402を構成する材料の空間的ゼロ交差変動に対する感度を低下させることもできる点である。
[0092] 他の実施形態では、時間tにおけるチャネル408に入る流体の第2の温度は、クランプ406による調節によってオブジェクト402が、オブジェクト402を構成する材料のゼロ交差温度より低い又は高い平均温度となるような温度である。
[0093] 一部の実施形態では、時間tにおけるチャネル408に入る流体の第1の温度から時間tにおけるチャネル408に入る流体の第2の温度への遷移は、図4に示すようにステップ状である。他の実施形態では、遷移はステップ状でない。
[0094] クランプ406のチャネル408を通過する流体の冷却力に起因するチャック404の変形を防ぐ又は抑えるために、支持体400は、クランプ406のチャネル408とチャック404の間の一部分を調節するように構成することができる。例えば、クランプ406の表面418を含むクランプ406の一部分は、チャネル408を通過する流体の温度より高い温度に維持することができる。一部の実施形態では、クランプ406のチャネル408とチャック404の間の一部分は、チャネル408を通過する流体の温度より高い温度の流体を、チャネル408とチャック404の間にあり、チャネル408から離れた少なくとも1つのチャネル422に通すことによって高温に維持することができる。図3に示すように、一部の実施形態では、クランプ406は複数のチャネル422を画定することができる。他の実施形態(図示せず)では、クランプ406は単一のチャネル422を画定する。チャネル422は、調節された流体を、クランプ406を通して循環させるように構成される。チャネル422に流体を通すことによって、クランプ406のチャネル408とチャック404の間の部分は、チャネル408を通過する流体の温度より高い実質的に一定の温度に維持される。これによって、クランプ406は、チャック404が例えば約22℃の温度となるように連続的な調節を行い、チャック404の変形を防ぐ又は抑える。
[0095] 一部の実施形態では、チャネル422は、クランプ406の取付け面416と平行して延びるように構成することができる。一部の実施形態では、チャネル422を通過する流体(すなわち、液体又は気体)は水、空気、アルコール、グリコール、相変化冷却剤(例えばフロン、二酸化炭素)、又はその組み合わせである。図3に示すように、一部の実施形態では、チャネル422はチャック404とチャネル408の間にあり、チャネル422はチャネル408から離れている。
[0096] 一部の実施形態では、支持体400は、チャネル422に結合され、クランプ406に入る前にチャネル422を通過する流体の特性、例えば温度を調節する流体調節デバイス411を備える。一部の実施形態では、流体調節デバイス411は、例えばペルチェクーラや他の任意の熱電冷却デバイスといった1つ以上の熱電冷却デバイスを備える。他の実施形態では、流体調節デバイス411は、例えばシェルアンドチューブ熱交換器、平板熱交換器、又は他の任意の熱交換器といった1つ以上の熱交換器を備える。一部の実施形態では、流体調節デバイス411は、1つ以上の熱電冷却デバイスと1つ以上の熱交換器の組み合わせを備える。
[0097] 一部の実施形態では、チャネル422を通過する流体は再循環される。例えば流体はチャネル422及びクランプ406を出た後、チャネル422を通ってクランプ406に入る前に1つ以上の導管を通って流体調節デバイス411に送り返される。他の実施形態では、チャネル422を通過する流体は再循環されず、流体調節デバイス411の上流の流体源を源とする。
[0098] 一部の実施形態では、流体調節デバイス411の冷却力は、コントローラ413から受信する制御信号に基づく。ある実施形態では、クランプ406内のチャネル422に入る流体の温度は一定の温度に維持することができる。例えば、図4に示すように、コントローラ413は、第1の時間t1及び後続の第2の時間t2において、流体調節デバイス411が、チャネル422に入る流体が実質的に一定の温度T2となるように調節するように、流体調節デバイス411に制御信号を送信することができる。一部の実施形態では、チャネル422に入る流体の温度は、ほぼ室温(例えば約22℃)である。一部の実施形態では、チャネル422に入る流体の第1の温度は、約17℃〜約27℃の範囲、例えば約22℃である。一部の実施形態では、チャネル422に入る流体の温度は、図4に示すように時間t2におけるチャネル408に入る流体の温度より高い。
[0099] 他の実施形態では、流体調節デバイス411の温度調節力は、例えばコントローラ413から受信する制御信号に基づいて調整可能である。このような実施形態では、クランプ406内のチャネル422に入る流体の温度は選択的に調整することができる。チャネル422に入る流体の温度を選択的に調整することによって、クランプ406のチャック404に対する温度調節力が変化する。
[0100] 図7は、ある実施形態に係る、(1)オブジェクト402が放射ビーム403で露光され、かつ(2)チャネル408に入る流体の温度が第1の温度からこれよりも低い第2の温度に調整された後の、様々な時間T1〜T8におけるオブジェクト402と、チャネル408及び422を有するクランプ406の温度を示す。この実施形態では、第2の温度の流体をチャネル408に通すことによって生じるオブジェクト402に及ぶ冷却力は、放射ビーム403による露光中にオブジェクト402に加わる加熱力にほぼ等しく、オブジェクト402の平均温度は、長時間にわたってオブジェクト402を構成する材料のゼロ交差温度にほぼ等しく保たれる。例えば、オブジェクト402が放射ビーム403により80Wで露光されると、チャネル408に入る流体の温度は、表面412に及ぶ冷却力が、時間と共に放射403による露光中にオブジェクト402が吸収した80Wの加熱力にほぼ等しくなるように、約22℃から約−8℃に調整される。したがって、一部の実施形態では、オブジェクト402の平均温度は、長時間にわたってほぼ室温、オブジェクト402を構成する材料のゼロ交差温度程度でもある、例えば約22℃に等しく保たれる。
[0101] 図7では、横軸は、クランプ406の取付け面416及びオブジェクト402の表面414と実質的に垂直な方向におけるオブジェクト402上又はクランプ406上の位置に対応する。例えば横軸の右端の破線は、放射ビーム403を受けるオブジェクトの表面414に対応する。横軸の左端の破線は、チャック404に隣接するクランプ406の表面418に対応する。破線レーン414の左側の中間破線416、412は、クランプ406の取付け面416と、取付け面416に隣接するオブジェクト402の表面412の間の境界に対応する。破線416、412の左側の破線408は、クランプ406のチャネル408の位置に対応し、破線408の左側の破線は、チャネル422の位置に対応する。とりわけ、クランプ406の取付け面416とオブジェクト402の表面412の間の境界416、412における温度の不連続性は、クランプ406とオブジェクト402の間の裏込め圧力の熱抵抗に起因する。
[0102] 図7に示すように、オブジェクト402の表面414が80Wの放射ビーム403で露光された後、かつチャネル408に入る流体の温度を約−8℃に調整した後、オブジェクト402の表面414を含む一部分の温度は、時間T1から時間T8にかけて約22℃から上昇し、オブジェクト402の表面412を含む一部分の温度は、時間T1から時間T8にかけて低下する。オブジェクト402は、約4mm〜約8mmの範囲の厚さを有し、温度の関数として変化する超低熱膨張係数を有し、約22℃のゼロ交差温度を有する材料を含む。一部の実施形態では、クランプ406は、約6mm〜約10mmの範囲、例えば8mmの厚さを有し、温度の関数として変化する超低熱膨張係数を有し、約22℃のゼロ交差温度を有する材料を含む。(ただし、一部の実施形態では、クランプ406は、超低熱膨張係数を有し、オブジェクト402を構成する材料のゼロ交差温度より低い、例えば約8℃のゼロ交差温度を有する材料を含む。)一部の実施形態では、時間T8は、オブジェクト402の表面414を放射ビーム403で露光し、チャネル408に入る流体の温度をより低い温度、例えば約−8℃に調整してから約200秒である。
[0103] 図7に示すように、一部の実施形態では、時間T1〜T8におけるオブジェクト402の平均温度は、オブジェクト402を構成する材料のゼロ交差温度程度である約22℃である。オブジェクト402の表面414を含むオブジェクト402の部分は、約22℃より高温(すなわちオブジェクト402を構成する材料のゼロ交差温度程度より高い)であり、オブジェクト402の表面412を含むオブジェクト402の部分は約22℃より低温(すなわちオブジェクト402を構成する材料のゼロ交差温度程度より低い)である。例えば、表面414におけるオブジェクト402の温度は、放射403で露光された時間T8における約38℃であってよい。オブジェクト402の温度は、オブジェクト402のほぼ中間のオブジェクト402の温度が約22℃(すなわちオブジェクト402を構成する材料のゼロ交差温度程度)になるまでクランプ406の方向に低下する。オブジェクト402上のその点から、オブジェクト402の温度は、時間T8におけるオブジェクト402の温度がオブジェクト402の表面412において約10℃になるまでクランプ406の方向に低下し続ける。
[0104] クランプ406によってもたらされる冷却により、オブジェクト402の平均温度は、(一部の実施形態における時間T1から時間T8までの実質的に全遷移期間)オブジェクト402を構成する材料のゼロ交差温度程度、例えば約22℃に保たれる。そして、図7に示す一部の実施形態では、オブジェクト402の平均温度(オブジェクト402を構成する材料のゼロ交差温度程度)と表面412におけるオブジェクト402の温度の差は、時間T1から時間T8までの遷移期間において、オブジェクト402の平均温度(オブジェクト402を構成する材料のゼロ交差温度程度)と表面414におけるオブジェクト402の温度の差にほぼ等しい。この温度分布は、図8に示すような、オブジェクト402の膨張に起因する、オブジェクト402の表面414に実質的に平行な軸に関して実質的に対称な熱的な内力分布を生成することができる。図8では、力F1は、約38℃の温度を有するオブジェクト402の表面414において生じる熱的な内力に対応し、力F2は、オブジェクト402内の表面414と、約22℃(すなわちオブジェクト402を構成する材料のゼロ交差温度程度)の温度を有するオブジェクト402内の点の間の点において生じる熱的な内力に対応する。力F3は、オブジェクト402内の約22℃の温度を有するオブジェクト402内の点と、オブジェクト402の表面412の間の点において生じる熱的な内力に対応し、力F4は、オブジェクト402の表面412において生じる熱的な内力に対応する。図9は、オブジェクト402上での力F1〜F4の分布を概略的に図で示す。
[0105] 図9に示すように、力F1〜F4は、オブジェクト402を構成する材料のゼロ交差温度にほぼ等しい温度、例えば約22℃を有するオブジェクト402上の点と交差するオブジェクト402の表面414に平行な軸に関して実質的に対称である。このような実質的に対称な力分布は、オブジェクト402の膨張によって生じる熱的な内力によってオブジェクト402に加わる内部曲げモーメントを低減するのに役立ち、よってオブジェクト402の変形を低減するのに役立ち得る。
[0106] 図14は、クランプ406によってもたらされる冷却から達成可能な、オブジェクト402の膨張に起因するオブジェクト402の表面414に実質的に平行な軸に関して対称な熱的な内力分布の別の例を示す。この実施形態では、オブジェクト402は、変形されていない状態のオブジェクト402の温度(例えば図14に示す約20℃)より高いゼロ交差温度(例えば図14に示す約30℃)を有する材料から構成される。図14では、力F1は、約45℃の温度を有するオブジェクト402の表面414において生じる熱的な内力に対応し、力F2は、約30℃(すなわちオブジェクト402を構成する材料のゼロ交差温度程度)の温度を有するオブジェクト402の中間点において生じる熱的な内力に対応する。力F3は、約15℃の温度を有するオブジェクト402の表面412において生じる熱的な内力に対応する。図14では、熱的な内力に起因する内部曲げモーメントの合計は、対称な熱的な内力分布のためにほぼゼロに等しい。また、オブジェクト402の表面414に平行な平面内の熱的な内力の合計はほぼゼロに等しい。熱的な内力に起因する内部曲げモーメントの合計を低減する、又は熱的な内力の合計を低減することによって、オブジェクト402の変形を低減することができる。
[0107] オブジェクト402がパターニングデバイスである実施形態では、チャネル408及び422に流体を通すことによってオブジェクト402及びチャック404の変形を低減することで、基板に露光されたパターンのオーバーレイエラーを低減することができる。例えば、図10は、ある実施形態に係る、支持体400を使用してオブジェクト402を放射ビーム403で露光した後の様々な時間T1〜T8における、基板に露光されたパターンの生のオーバーレイエラーを基板上の位置の関数としてグラフによって表す。この実施形態では、クランプ406及びオブジェクト402は、図7に示す温度分布を有し、クランプ406は、温度の関数として変化する超低熱膨張係数を有する材料を含み、オブジェクト402は、温度の関数として変化する超低熱膨張係数を有する材料を含む。この実施形態では、クランプ406を構成する材料は、オブジェクト402を構成する材料のゼロ交差温度、例えば約22℃にほぼ等しいゼロ交差温度、例えば約22℃を有する。図10の時間T1〜T8は、図7の時間T1〜T8と対応する。一部の実施形態では、T8は図7に示すように約200秒(例えば214秒)であってよく、時間T1〜T8における基板に沿った生の最大オーバーレイエラーは、図10に示すように約0.5nmである。
[0108] 図11は、別の実施形態に係る、支持体400を使用してオブジェクト402を放射ビーム403で露光した後の様々な時間T1〜T8における、基板に露光されたパターンの生のオーバーレイエラーを基板上の位置の関数としてグラフによって表す。この実施形態では、クランプ406及びオブジェクト402は、図7に示す温度分布を有し、クランプ406は、温度の関数として変化する超低熱膨張係数を有する材料を含み、オブジェクト402は、温度の関数として変化する超低熱膨張係数を有する材料を含む。この実施形態では、クランプ406を構成する材料は、オブジェクト402を構成する材料のゼロ交差温度程度、例えば約22℃未満のゼロ交差温度、例えば約8℃を有する。図11の時間T1〜T8は、図7の時間T1〜T8と対応する。一部の実施形態では、時間T8は図7に示すように約200秒(例えば214秒)であってよく、時間T1〜T8における基板に沿った生の最大オーバーレイエラーは、図11に示すように約0.1nmである。図10の実施形態に対するこの実施形態における生のオーバーレイエラーの低減は、クランプ406に生じる熱的な内力に少なくとも部分的に起因する。クランプ406が図7に示すような温度分布を有する場合、クランプ406に生じる内力は、オブジェクト402に生じる内部熱膨張力F1〜F4(図9参照)と反対方向になる。クランプ406に生じるこのような内部熱圧縮力は、オブジェクト402に生じる内部熱膨張力F1〜F4(図9参照)に抵抗することができ、オブジェクト402の変形を低減し、ひいては基板におけるオーバーレイエラーを低減するのに役立ち得る。
[0109] 一部の実施形態では、支持体400は図12に示すペリクル424を備える。例えば、ペリクル424の一方の側をオブジェクト402の側端部426に取り付けることができ、ペリクル424の他方の側をオブジェクト402の反対側の側端部428に取り付けることができる。一部の実施形態では、支持体400はマウント430、例えば炭化ケイ素製又は金属製のスタッドを備え、マウント430はその一端が側端部426においてオブジェクト402の表面414に結合され、他端がペリクル424に結合される。支持体400はまた、マウント432、例えば炭化ケイ素製又は金属製のスタッドを備え、マウント432はその一端が側端部428においてオブジェクト402の表面414に結合され、他端がペリクル424に結合される。一部の実施形態では、マウント430及び432はオブジェクト402の表面414に直接貼着することができる。一部の実施形態では、側端部426及び428は、露光フィールドに含まれず、オブジェクト402とクランプ406の間の裏込めされた境界面とアライメントされないオブジェクト402の部分に対応する。
[0110] 一部の実施形態では、コントローラ413は、オブジェクト402の側端部426及び428が実質的に室温(例えば約22℃)に維持されるように、チャネル408を通過する流体の温度を制御する。図13は、上記の実施形態で説明した(1)オブジェクト402が放射ビーム403で露光され、かつ(2)チャネル408に入る流体の温度が第1の温度からこれよりも低い第2の温度に調整された後の、様々な時間T1〜T6におけるそのような一実施形態に係るチャネル408及び422を有するオブジェクト402の表面414の温度を示す。一部の実施形態では、時間T6は放射ビーム403による露光が開始してから約300秒以上である。この実施形態では、側端部426及び428におけるオブジェクト402の表面414の温度は、ほぼ室温、例えば約22℃である。したがって、マウント430及び432もほぼ室温、例えば約22℃に保たれる。マウント430及び432をほぼ室温に維持することは、露光中のマウント430及び432の膨張を低減するのに役立ち、ひいてはオブジェクト402の局部変形を低減し得る。
[0111] 一部の実施形態では、チャック404に隣接するクランプ406の部分、例えばチャネル422を含む部分は、チャネル408を含むチャック404の部分から、クランプ406内のその間に空隙を形成することによって熱的に分離される。図15は、クランプ406のそのような一実施形態を示す。図15に示すように、クランプ406は、第1の層434、第2の層436、及び第3の層438を備える。第1の層434は、オブジェクト402を受ける表面416を画定する複数のバール440を含む。第2の層436はチャネル408を画定し、第3の層438は複数の空隙444を画定する複数のバール442を含む。第3の層438は、クランプ406の表面418でチャック404に結合される。
[0112] 一部の実施形態では、第1、第2、及び第3の層434、436、及び438は、陽極接合又は融着接合によって互いに光学的に結合される。例えば第1及び第2の層434及び436は境界448で互いに融着されてよく、第2及び第3の層436及び438は境界446で互いに融着されてよい。そして第3の層438は表面418でチャック404(図示せず)に光学的に結合されてよい。一部の実施形態では、接合後は第1、第2、及び第3の層434、436、及び438は一体である。
[0113] 他の実施形態では、クランプ406は、空隙444、チャネル408、及びチャネル422を画定する単一の層である。
[0114] 一部の実施形態では、空隙444内に真空を形成する。一部の実施形態では、真空はリソグラフィ装置の運転使用中に生じる。他の実施形態では、空隙444に断熱流体、例えば空気又は他の任意の絶縁流体が充填される。一部の実施形態では、空隙444は、チャック404に隣接する第3の層438と、チャネル408を含む第2の層436との間の熱伝導を、空隙444がない類似のクランプと比べて50〜100倍低減させる。一部の実施形態では、空隙444がもたらすこのような断熱によって、第1及び第2の層434及び436は室温又は22℃よりかなり低い温度、例えば2℃未満の温度で動作することができる一方、第3の層438は、変形されていない製造されたままの状態におけるチャック404の温度、例えばほぼ室温又は22℃にほぼ等しい温度を維持する。この構成によって、チャックの安定性及び生産性を高めつつ、チャック404に残留する熱的誤差を減らすことができる。
[0115] 空隙444は、チャネル408を含むクランプ406の部分(例えば第1の層434又は第2の層436)と、チャック404に隣接するクランプ406の部分(例えば第3の層438)の間の熱的結合を低下させる(すなわち熱的に分離する)。クランプ406がチャネル422を含む場合、結果として生じる熱的分離によって、チャネル408と422を通過する流体の間の温度差及び/又はチャネル408と422の間の距離が小さくなり、スケールの安定性及びチャックの平坦性を高めることができる。
[0116] 一部の実施形態では、チャネル422をクランプ406から省くことができる。空隙444は、チャック404と接触するクランプ406の部分を、チャネル408を含むクランプ406の部分から熱的に分離するため、チャネル422の流体流によるチャック404の調節が不要になる。
[0117] 一部の実施形態では、第2の層436と接合するバール442の表面積は、第3の層438に面した第2の層436の表面の表面積の50パーセント未満である。一部の実施形態では、第2の層436と接合するバール442の表面積は、第3の層438に面した第2の層436の表面の表面積の10パーセント未満である。
[0118] 一部の実施形態では、層434、436、及び438のそれぞれは、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成される。例えば、層434、436、及び438を構成する材料は、超低膨張シリコン系材料(例えばコーニング(Corning)製造のULE(登録商標)ガラス)、ガラス材料、セラミック材料、シリコン系ガラスセラミック材料(例えばショット(SCHOTT)製造のZERODUR(登録商標)ガラスセラミック)又はこれらの組み合わせであってよい。
[0119] 一部の実施形態では、図15に示す空隙444を有するクランプ406の代わりに、チャック404が図16に示すようにチャック404をクランプ406から熱的に分離する空隙464を有してよい。この構成(すなわちクランプ406ではなくチャック404に空隙464があること)は、クランプ406の構造を単純化することができる。例えば、クランプ406ではなくチャック404に空隙464を形成することで、クランプ406を形成する層の数を減らすことができる。図15の空隙444を有するクランプ406は3つの層から形成されるが、図16の空隙444を有しないクランプ406は2つの層から形成される。
[0120] 図16に示すように、クランプ406は複数の層から作られてよい。例えばクランプ406は、第1の層450及び第2の層452を含んでよい。第1の層450は、オブジェクト402を受ける表面416を画定する複数のバール440を含む。第2の層452はチャネル408を画定し、チャック404に結合される。
[0121] 他の実施形態(図示せず)では、第1の層450はチャネル408を画定する。
[0122] 他の実施形態(図示せず)では、クランプ406は単層又は3つ以上の層で形成される。
[0123] 図16のバール440は台形断面形状を有しているが、バール440は他の適切な断面形状、例えば四角形状、三角形状、又は半球形状を有してもよい。
[0124] 繰返しになるが、一部の実施形態では、クランプ406はオブジェクト402を所定の位置に保持する静電場を生成する静電クランプであってよい。そのような静電実施形態では、1つ以上の層450及び452はこのような静電場を生成する電極(図示せず)を含んでよい。
[0125] 図16に示すように、チャック404は複数の層から作られてよい。例えばチャック404は、クランプ406の第2の層452と結合された表面420を画定する第1の層454、及び第1の層454と結合された第2の層456を含んでよい。
[0126] 他の実施形態(図示せず)では、第1の層454は、空隙464を画定する層456がクランプ406に(例えばクランプ406の層452に)直接結合されるように省かれてもよい。他の実施形態(図示せず)では、チャック404の2つ以上の層が、空隙464を画定する層456とクランプ406の間に位置決めされてよい。他の実施形態では、チャック404は単層又は3つ以上の層(例えば3つ、4つ、又は5つの層)で形成される。例えば、チャック404の第1及び第2の層454及び456は空隙464を画定する単一の一体層であってもよい。
[0127] 一部の実施形態では、層450、452、454、及び456のそれぞれは、温度の関数として変化する超低熱膨張係数を有する1つ以上の材料から構成される。例えば、層450、452、454、及び456を構成する材料は、超低膨張シリコン系材料(例えばコーニング(Corning)製造のULE(登録商標)ガラス)、ガラス材料、セラミック材料、シリコン系ガラスセラミック材料(例えばショット(SCHOTT)製造のZERODUR(登録商標)ガラスセラミック)又はこれらの組み合わせであってよい。
[0128] クランプ406の表面418とチャック404の表面420の間の境界458は光学的に結合されてよい。例えば、チャック404及びクランプ406が、ガラス材料、セラミック材料、又はシリコン系ガラスセラミック材料(例えばショット(SCHOTT)製造のZERODUR(登録商標)ガラスセラミック)で作られる一部の実施形態では、境界458は陽極接合であってよい。チャック404及びクランプ406が、超低膨張シリコン系材料(例えばコーニング(Corning)製造のULE(登録商標)ガラス)で作られる一部の実施形態では、境界458は融着接合であってよい。
[0129] 一部の実施形態では、クランプ406の第1及び第2の層450及び452、並びにチャック404の第1及び第2の層454及び456は、陽極接合又は融着接合によって互いに光学的に結合される。例えばクランプ406の第1及び第2の層450及び452は境界448で互いに融着又は陽極接合されてよく、第1及び第2の層436及び438は境界446で互いに融着又は陽極接合されてよい。一部の実施形態では、接合後はクランプ406の第1及び第2の層450及び452、並びにチャック404の第1及び第2の層454及び456は一体である。
[0130] 一部の実施形態では、チャック404の第2の層456は、図16に示すように複数の空隙464を画定する複数のバール462を含む。図16のバール462は台形断面形状を有しているが、バール462は他の適切な断面形状、例えば四角形状、三角形状、又は半球形状を有してもよい。同様に、図16の空隙464は台形断面形状を有しているが、空隙464は他の適切な断面形状、例えば四角形状、三角形状、弓形形状又は円形状を有してもよい。
[0131] 他の実施形態では、チャック404は空隙464を画定する単一の層である。一部の実施形態では、空隙464内に真空を形成する。一部の実施形態では、真空はリソグラフィ装置の運転使用中に生じる。他の実施形態では、空隙464に断熱流体、例えば空気又は他の任意の絶縁流体が充填される。
[0132] 空隙464は、(i)クランプ406に隣接するチャック404の第1の層454と、(ii)空隙464を含むチャック404の第2の層456の間の熱的結合を低下させ(すなわち熱的に分離し)、ひいてはクランプ406とチャック404の間の熱的結合を低下させる。空隙464がもたらすこのような断熱によって、チャック404の第2の層454及びクランプ406は室温又は22℃よりかなり低い温度、例えば2℃未満の温度で動作することができる一方、チャック404の第2の層456は、変形されていない製造されたままの状態におけるチャック404の温度、例えばほぼ室温又は22℃にほぼ等しい温度を維持する。この構成によって、チャックの安定性及び生産性を高めつつ、チャック404に残留する熱的誤差を減らすことができる。
[0133] 空隙464に断熱流体を充填した、及び/又はチャック404の第2の層456に流体循環チャネル466を任意選択で形成した実施形態では、結果として生じる空隙464からの熱的分離によって、(1)チャネル408と空隙464又はチャネル466を通過する流体の間の温度差が小さくなり、及び/又は(2)チャネル408とチャック404の間の距離が小さくなり、スケールの安定性及びチャックの平坦性を高めることができる。
[0134] 一部の実施形態では、チャネル466をチャック406から省くことができる。空隙464は、チャック404を、チャネル408を含むクランプ406の部分から熱的に分離するため、チャネル466の流体流によるチャック404の調節が不要になる。
[0135] 一部の実施形態では、クランプ406の第1の層454と接合するバール462の表面積は、チャック404の第2の層456に面した第1の層454の表面の表面積の50パーセント未満である。一部の実施形態では、チャック404の第1の層454と接合するバール462の表面積は、チャック404の第2の層456に面した第1の層454の表面の表面積の10パーセント未満である。
[0136] 一部の実施形態では、クランプ406のチャネル408(及びチャネル466)を流れる流体の温度は、この出願の上記実施形態のいずれか1つに記載されているように制御される。
オブジェクト温度制御方法の例示的な実施形態
[0137] 使用時に、支持体400の上記の実施形態はいずれも、リソグラフィ装置のチャック404に結合されたクランプ406によって保持されるオブジェクト402の温度を制御することができる。例えば一部の実施形態では、クランプ406及びチャック404を使用してオブジェクト402を冷却する方法は、放射ビーム403でオブジェクト402を露光することを含む。一部の実施形態では、放射ビーム403でオブジェクト402を露光することは、ICを製造する工程の一部である。例えば放射ビーム403でオブジェクト402を露光することは、放射でレチクルを露光して放射ビームにパターンを付与することを含む。
[0138] クランプ406及びチャック404を使用してオブジェクト402を冷却する方法は、クランプ406の第1の部分の温度を調節することも含んでよい。例えばチャネル422と、チャック404の表面420に結合される表面418とを有するクランプ406の部分の温度は、第1の温度、例えば約22℃の流体をチャネル422に通すことによって調節することができる。この方法はまた、クランプ406の第2の部分、例えばチャネル408と、オブジェクト402を保持する表面416とを有するクランプ406の部分の温度を、チャネル422を通過する流体の温度より低い温度の流体をチャネル408に通すことによって調節することを含んでよい。一部の実施形態では、チャネル408を通過する流体は、異なる時点で異なる温度を有するように調節される。例えばチャネル422を通過する流体の温度より低い温度の流体をチャネル408に通すまで、チャネル408を通過する流体は、チャネル422を通過する流体の温度にほぼ等しい温度、例えば約22℃となるように調節されてよい。一部の実施形態では、次にコントローラ413は、流体調節デバイス410に制御信号を送信して、図4に示すように、チャネル408を通過する流体の温度を高温、例えば約22℃から低温、例えば−8℃に調整することができる。温度の変化は、図4に示すように、放射ビーム403によるオブジェクト402の露光の開始と一致してよい。
[0139] 一部の実施形態では、オブジェクト402は、チャネル408を通過する流体がチャネル422を通過する流体の温度にほぼ等しい温度、例えば約22℃となるように調節される間にクランプ406にロードされる。
[0140] 一部の実施形態では、オブジェクト402を冷却する方法は、放射ビーム403で露光されるとき、オブジェクト402のターゲット平均温度、例えば約22℃より低い温度、例えば−8℃となるようにチャネル408を通過する流体を調節することを含む。一部の実施形態では、オブジェクト402のターゲット平均温度は、オブジェクト402を構成する材料のゼロ交差温度程度である。
[0141] 一部の実施形態では、オブジェクト402を冷却する方法は、チャネル408を通過する流体が、流体をチャネル408に通すことによって生じるオブジェクト402に及ぼされる冷却力が、放射ビーム403による露光中にオブジェクト402に加わる加熱力、例えば約80Wにほぼ等しくなるような温度、例えば約−8℃になるように調節することを含む。
[0142] 一部の実施形態では、オブジェクト402を冷却する方法は、チャネル408を通過する流体が、オブジェクト402を放射ビーム403で露光する際にオブジェクト402に生じる熱的な内力がオブジェクト402の表面414に実質的に平行な軸に関して実質的に対称となるような温度、例えば約−8℃になるように調節することを含む。このような実施形態では、熱的な内力に起因するオブジェクト402内の内部曲げモーメントの合計はほぼゼロである。例えばチャネル408を通過する流体は、図9に示す熱的な内力を生成する温度となるように調節することができる。
[0143] 一部の実施形態では、オブジェクト402を放射ビーム403で露光した後、チャネル408を通過する流体は、チャネル422を通過する流体の温度とほぼ等しい温度、例えば約22℃となるように調節される。例えばオブジェクト402を放射ビーム403に露光した後、コントローラ413は、流体調節デバイス410に制御信号を送信して、チャネル408を通過する流体の温度を低温、例えば約−8℃から高温、例えば約22℃に調整することができる。温度の変化は、放射ビーム403によるオブジェクト402の露光の停止と一致してよい。
[0144] オブジェクト402及びチャック404の温度を制御する上記の実施形態のうちのいずれか1つをICの製造に使用することができる。例えばオブジェクト402は、ウェーハ上で露光される、ICの1つの層のパターンを放射ビーム403に付与するのに使用されるレチクルであってよい。
[0145] 本書ではリソグラフィ装置内での静電クランプの使用を具体的に参照しているが、本明細書に記述される静電クランプは、マスク検査装置、ウェーハ検査装置、空間像メトロロジ装置、及び、より一般的な、例えばプラズマエッチング装置又は蒸着装置といった真空又は大気(非真空)のいずれかの条件でウェーハ(又は他の基板)又はマスク(又は他のパターニングデバイス)などのオブジェクトを測定又は処理する任意の装置における使用といった他の用途を有してもよいことが理解されるべきである。
[0146] 本文ではICの製造におけるリソグラフィ装置の使用に特に言及しているが、本明細書で説明するリソグラフィ装置には他の用途もあることを理解されたい。例えば、これは、集積光学システム、磁気ドメインメモリ用ガイダンス及び検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの製造である。こうした代替的な用途に照らして、本明細書で「ウェーハ」又は「ダイ」という用語を使用している場合、それぞれ、「基板」又は「ターゲット部分」という、より一般的な用語と同義と見なしてよいことが、当業者には認識される。本明細書に述べている基板は、露光前又は露光後に、例えばトラック(通常はレジストの層を基板に塗布し、露光したレジストを現像するツール)、メトロロジーツール及び/又はインスペクションツールで処理することができる。適宜、本明細書の開示は、以上及びその他の基板プロセスツールに適用することができる。更に基板は、例えば多層ICを生成するために、複数回処理することができ、したがって本明細書で使用する基板という用語は、既に複数の処理済み層を含む基板も指すことができる。
[0147] 光リソグラフィの分野での本発明の実施形態の使用に特に言及してきたが、本発明は文脈によってはその他の分野、例えばインプリントリソグラフィでも使用することができ、光リソグラフィに限定されないことを理解されたい。インプリントリソグラフィでは、パターニングデバイス内のトポグラフィが基板上に作成されたパターンを画定する。パターニングデバイスのトポグラフィは基板に供給されたレジスト層内に刻印され、電磁放射、熱、圧力又はそれらの組み合わせを印加することでレジストは硬化する。パターニングデバイスはレジストから取り除かれ、レジストが硬化すると、内部にパターンが残される。
[0148] 本明細書の言葉遣い又は用語は説明のためのもので、限定するものではなく、したがって本明細書の用語又は言葉遣いは、当業者には教示の観点から解釈されるべきことを理解されたい。
[0149] 本明細書で使用する「放射」及び「ビーム」という用語は、イオンビーム又は電子ビームなどの粒子ビームのみならず、紫外線(UV)放射(例えば、365nm、355nm、248nm、193nm、157nm若しくは126nm、又はこれら辺りの波長を有する)及び極端紫外光(EUV)放射(例えば、5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射を網羅する。
[0150] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁気及び静電気光学コンポーネントを含む様々なタイプの光学コンポーネントのいずれか一つ、又はその組み合わせを指すことができる。
[0151] 本明細書で用いる「エッチ」、「エッチング」又は「エッチバック」なる用語は、一般にエッチングの完了後にその少なくとも一部が残るような材料をパターニングする製造工程を説明する。例えば、一般的に材料をエッチングする工程は、材料の上からマスク層(例えばフォトレジスト又はハードマスク)をパターニングするステップと、続いてマスク層によりもはや保護されていない材料の領域を除去するステップと、マスク層の残留部分を任意選択で除去するステップとを含む。一般に除去ステップは、マスク層よりも材料に対して高い「選択性」を有する「エッチャント」を用いて実施される。このようにして、マスクにより保護される材料の領域がエッチング工程の完了後に残るであろう。しかしながら、上記は限定ではなく例示を目的として与えられる。別の例においてエッチングは、マスクを用いないが、エッチング工程の完了後に材料の少なくとも一部を依然として残す工程を指してもよい。
[0152] 上述の説明は、「エッチング」なる用語を「除去」と区別するのに役立つ。ある実施形態において、ある材料をエッチングするとき、工程完了後に材料の少なくとも一部が残る。対照的に、ある材料を除去するとき、この工程において材料の実質的に全てが除去される。しかしながら、他の実施形態において、「除去」はエッチングを包含してもよい。
[0153] 本明細書で用いる「堆積」又は「配置」なる用語は、基板に材料の層を塗布する行為を説明する。このような用語は、熱成長、スパッタリング、蒸着、化学蒸着、エピタキシャル成長、原子層堆積、電気めっきなどを含むがこれらに限定されない任意の実行可能な層形成技術の記載を意味する。
[0154] 本明細書で用いる「基板」なる用語は、後続の材料層がその上に付加される材料を説明する。実施形態において、基板自体がパターン付与されてもよいし、その上部に付加される材料がパターン付与されてもよいし、基板自体がパターニングされることなく残ってもよい。
[0155] 本明細書で用いる「実質的に」又は「実質的に接触」なる用語は、たいていの場合、製造公差及び/又は位置ずれ公差から典型的に生じる互いからの僅かな分離があるだけの、要素や構造が互いに物理的に実質的に接触することを説明する。本明細書で用いる1つ以上の具体的な特徴、構造又は特性間の相対的な空間的描写(例えば「縦に並んでいる」「実質的な接触」など)は例示のみを目的とし、本開示の趣旨及び範囲を逸脱しない限りにおいて、本明細書で記載される構造の実際の実装形態が製造公差及び/又は位置ずれ公差を含んでもよいことを理解されたい。
[0156] 以上、特定の実施形態について説明したが、本発明は、記載された以外の方法で実施されてもよいことが理解されよう。この説明は、本発明を限定するものではない。
[0157] 発明の概要及び要約の項目ではなく、詳細な説明の項目は、請求項の理解のために使用されることを意図していることを理解されたい。発明の概要及び要約の項目は、発明者が想定するような本発明の1つ以上の例示的実施形態について述べることができるが、全部の例示的実施形態を述べることはできず、したがって、本発明及び添付の請求の範囲をいかなる意味でも制限しないものとする。
[0158] 本発明は、特定の機能の実施を例示する機能的構成要素及びその関係を用いて上記に記載してきた。これらの機能的構成要素の境界は、説明の便宜のために本明細書中に任意に画定されている。特定の機能及びその関係が適切に行われる限り、代替的な境界を画定することができる。
[0159] 特定の実施形態の前述の説明は、本発明の全体的性質を十分に明らかにしているので、当技術分野の知識を適用することにより、過度の実験をせず、本発明の全体的な概念から逸脱することなく、このような特定の実施形態を容易に変更及び/又はこれを様々な用途に適応させることができる。したがって、このような適応及び変更は、本明細書に提示された教示及び案内に基づき、開示された実施形態の同等物の意味及び範囲に入るものとする。
[0160] 本発明の幅及び範囲は、上述した例示的実施形態のいずれによっても制限されず、以下の特許請求の範囲及びその同等物によってのみ規定されるものである。

Claims (21)

  1. オブジェクトを受け、第1の流体温度の流体を通す少なくとも1つのチャネルを画定するクランプと、
    前記クランプに結合され、前記クランプから熱的に絶縁する少なくとも1つの空隙を画定するチャックと、を備えるリソグラフィ装置。
  2. 前記少なくとも1つの空隙は真空である、請求項1に記載のリソグラフィ装置。
  3. 前記少なくとも1つの空隙に流体が充填される、請求項1に記載のリソグラフィ装置。
  4. 前記少なくとも1つの空隙は複数の空隙を含む、請求項1から3のいずれか一項に記載のリソグラフィ装置。
  5. 前記チャックは、前記少なくとも1つの空隙を画定する複数のバールを備える、請求項1から4のいずれか一項に記載のリソグラフィ装置。
  6. 前記チャックは、前記クランプに結合された第1の層、及び前記少なくとも1つの空隙を画定する前記複数のバールを画定する第2の層を備える、請求項5に記載のリソグラフィ装置。
  7. 前記第1の流体の温度を変化させる流体調節デバイスを更に備える、請求項1から6のいずれか一項に記載のリソグラフィ装置。
  8. 前記流体調節デバイスは、前記第1の流体の温度を第2の流体温度から前記第1の流体温度に変化させる、請求項7に記載のリソグラフィ装置。
  9. 前記流体調節デバイスは、前記オブジェクトが放射で露光されるとき、前記第1の流体の温度を、前記第1の流体温度より高い前記第2の流体温度から前記第1の流体温度に変化させる、請求項8に記載のリソグラフィ装置。
  10. 前記第1の流体温度は、約−15℃から約15℃の範囲にあり、前記第2の流体温度は、約17℃から約27℃の範囲にある、請求項8又は9に記載のリソグラフィ装置。
  11. 前記第1の流体温度は、前記オブジェクトが放射で露光されるときの前記オブジェクトのターゲット平均温度より低い、請求項1から10のいずれか一項に記載のリソグラフィ装置。
  12. 前記オブジェクトは温度の関数として変化する熱膨張係数を有する材料を含み、
    前記オブジェクトの前記材料の前記熱膨張係数は、前記オブジェクトのゼロ交差温度においてほぼゼロであり、
    前記第1の流体温度は、前記オブジェクトが放射で露光されるときの前記オブジェクトの平均温度が前記オブジェクトの前記ゼロ交差温度とほぼ等しくなるような温度である、請求項1から11のいずれか一項に記載のリソグラフィ装置。
  13. 前記第1の流体温度は、前記オブジェクトが放射で露光されるときの前記オブジェクトの内力が、前記オブジェクトを保持する前記クランプの表面と垂直な方向に実質的に対称となるような温度である、請求項1から12のいずれか一項に記載のリソグラフィ装置。
  14. 前記第1の流体温度は、前記オブジェクトが放射で露光されるときの前記オブジェクトの前記内力の合計が、ほぼゼロに等しくなるような温度である、請求項1から13のいずれか一項に記載のリソグラフィ装置。
  15. 前記少なくとも1つのチャネルは複数のチャネルを含み、前記オブジェクトはパターニングデバイスである、請求項1から14のいずれか一項に記載のリソグラフィ装置。
  16. リソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法であって、前記方法は、
    オブジェクトを放射で露光することと、
    第1の流体温度の流体を、前記クランプによって画定される少なくとも1つのチャネルに通して前記クランプの温度を調節することと、を含み、
    前記クランプは、前記クランプから熱的に絶縁する少なくとも1つの空隙を画定するチャックと結合される、方法。
  17. 前記第1の流体温度の前記流体を、前記クランプによって画定される前記少なくとも1つのチャネルに通す前に、第2の流体温度の前記流体を、前記クランプによって画定される前記少なくとも1つのチャネルに通して前記クランプの温度を調節することと、
    前記第2の流体温度の前記流体を、前記クランプによって画定される前記少なくとも1つのチャネルに通した後に、前記第1の流体温度より高い前記流体の前記第2の流体温度を前記流体の前記第1の流体温度に変化させることと、を更に含む、請求項16に記載の方法。
  18. 前記第1の流体温度は、約−15℃から約15℃の範囲に調節され、
    前記第2の流体温度は、約17℃から約27℃の範囲に調節される、請求項16又は17に記載の方法。
  19. 前記第1の流体温度は、約−8℃に調節され、
    前記第2の流体温度は、約22℃に調節される、請求項18に記載の方法。
  20. 前記第1の流体温度は、前記オブジェクトを放射で露光するときの前記オブジェクトの平均温度未満に調節される、請求項16から19のいずれか一項に記載の方法。
  21. 前記オブジェクトは温度の関数として変化する熱膨張係数を有する材料を含み、
    前記オブジェクトの前記材料の前記熱膨張係数は、ゼロ交差温度においてほぼゼロであり、
    前記第1の流体温度の前記流体を前記少なくとも1つのチャネルに通すことによって、前記オブジェクトを放射で露光するときの、前記オブジェクトの前記材料の前記ゼロ交差温度とほぼ等しい平均温度が生じる、請求項16から20のいずれか一項に記載の方法。
JP2018515198A 2015-10-06 2016-10-05 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法 Pending JP2018531410A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021175870A JP7369753B2 (ja) 2015-10-06 2021-10-27 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562237732P 2015-10-06 2015-10-06
US62/237,732 2015-10-06
US201562271688P 2015-12-28 2015-12-28
US62/271,688 2015-12-28
PCT/EP2016/073704 WO2017060259A1 (en) 2015-10-06 2016-10-05 Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021175870A Division JP7369753B2 (ja) 2015-10-06 2021-10-27 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法

Publications (3)

Publication Number Publication Date
JP2018531410A true JP2018531410A (ja) 2018-10-25
JP2018531410A6 JP2018531410A6 (ja) 2018-12-13
JP2018531410A5 JP2018531410A5 (ja) 2019-11-14

Family

ID=57068118

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018515198A Pending JP2018531410A (ja) 2015-10-06 2016-10-05 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
JP2021175870A Active JP7369753B2 (ja) 2015-10-06 2021-10-27 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021175870A Active JP7369753B2 (ja) 2015-10-06 2021-10-27 リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法

Country Status (7)

Country Link
US (2) US10324383B2 (ja)
JP (2) JP2018531410A (ja)
KR (2) KR20180059936A (ja)
CN (2) CN108139684B (ja)
NL (1) NL2017576A (ja)
TW (2) TWI733234B (ja)
WO (1) WO2017060259A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10324383B2 (en) 2015-10-06 2019-06-18 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
WO2020164868A1 (en) * 2019-02-11 2020-08-20 Asml Netherlands B.V. Lithographic apparatus and method with a thermal control system
US11927889B2 (en) 2019-02-13 2024-03-12 Asml Holding N.V. Intermediate layer for mechanical interface
US11860554B2 (en) 2019-05-01 2024-01-02 Asml Netherlands B.V. Object positioner, method for correcting the shape of an object, lithographic apparatus, object inspection apparatus, device manufacturing method
JP2022533985A (ja) * 2019-05-29 2022-07-27 エーエスエムエル ホールディング エヌ.ブイ. 分割両面ウェーハ及びレチクルクランプ
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
WO2021089329A1 (en) 2019-11-07 2021-05-14 Asml Holding N.V. Optical component and clamp used in lithographic apparatus
WO2021136628A1 (en) * 2019-12-31 2021-07-08 Asml Holding N.V. Systems and methods for manufacturing a double-sided electrostatic clamp
US11875967B2 (en) * 2020-05-21 2024-01-16 Applied Materials, Inc. System apparatus and method for enhancing electrical clamping of substrates using photo-illumination
JP7433147B2 (ja) 2020-06-26 2024-02-19 東京エレクトロン株式会社 載置台及び検査装置
CN116324621A (zh) 2020-08-07 2023-06-23 卡尔蔡司Smt有限责任公司 光学系统与操作光学系统的方法
EP4123376A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
WO2023001797A1 (en) 2021-07-21 2023-01-26 Koninklijke Philips N.V. Imprinting apparatus
EP4123373A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123374A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus
EP4123378A1 (en) * 2021-07-21 2023-01-25 Koninklijke Philips N.V. Imprinting apparatus

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637172A (ja) * 1992-05-19 1994-02-10 Nikon Corp 基板保持装置
JPH07106244A (ja) * 1993-08-13 1995-04-21 Sony Corp 露光方法および露光装置
JPH11135407A (ja) * 1997-10-28 1999-05-21 Nikon Corp 露光方法および装置
JP2003282685A (ja) * 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
JP2005197447A (ja) * 2004-01-07 2005-07-21 Canon Inc 露光装置及びデバイス製造方法
JP2005353982A (ja) * 2004-06-14 2005-12-22 Ricoh Co Ltd アライメント装置
JP2007043042A (ja) * 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
JP2007142238A (ja) * 2005-11-21 2007-06-07 Nikon Corp 基板保持装置、露光装置及びデバイスの製造方法
JP2007235171A (ja) * 2007-05-17 2007-09-13 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP2008199006A (ja) * 2007-01-24 2008-08-28 Asml Holding Nv ウェーハチャックおよびウェーハチャックを形成する方法
JP2009200486A (ja) * 2008-02-20 2009-09-03 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2010114397A (ja) * 2008-11-10 2010-05-20 Canon Inc 露光装置およびデバイス製造方法
JP2013251311A (ja) * 2012-05-30 2013-12-12 Nikon Corp 露光装置、露光方法、デバイス製造方法、プログラム、及び記録媒体
WO2014093306A1 (en) * 2012-12-11 2014-06-19 Schneider Electric Buildings, Llc Fast attachment open end direct mount damper and valve actuator
JP2015035448A (ja) * 2013-08-07 2015-02-19 日本特殊陶業株式会社 静電チャック
JP2015519755A (ja) * 2012-05-29 2015-07-09 エーエスエムエル ネザーランズ ビー.ブイ. 支持装置、リソグラフィ装置及びデバイス製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0357423B1 (en) 1988-09-02 1995-03-15 Canon Kabushiki Kaisha An exposure apparatus
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP4134406B2 (ja) 1998-12-04 2008-08-20 株式会社ニコン 平面モータ装置及び露光装置
JP4549022B2 (ja) * 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
JP2004266209A (ja) 2003-03-04 2004-09-24 Canon Inc 露光装置及びデバイスの製造方法
US6983086B2 (en) * 2003-06-19 2006-01-03 Intel Corporation Thermally isolating optical devices
JP4371822B2 (ja) 2004-01-06 2009-11-25 キヤノン株式会社 露光装置
JP2005276886A (ja) 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7327439B2 (en) * 2004-11-16 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4647401B2 (ja) * 2005-06-06 2011-03-09 東京エレクトロン株式会社 基板保持台、基板温度制御装置及び基板温度制御方法
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100798813B1 (ko) 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US8422193B2 (en) * 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP2009152475A (ja) 2007-12-21 2009-07-09 Shinko Electric Ind Co Ltd 基板温調固定装置
CN102132209B (zh) * 2008-08-21 2014-07-16 Asml控股股份有限公司 具有高热传导率的euv掩模版基底
JP5510308B2 (ja) 2009-12-25 2014-06-04 旭硝子株式会社 Euvl光学部材用基材
NL2006674A (en) * 2010-08-02 2012-02-06 Asml Holding Nv Reticle cooling in a lithographic apparatus.
US9476780B2 (en) * 2011-03-11 2016-10-25 Alliance For Sustainable Energy, Llc Calorimeters for testing energy storage systems and power electronics methods of making the same and methods of use
SG188036A1 (en) * 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US9494875B2 (en) * 2011-10-06 2016-11-15 Asml Netherlands B.V. Chuck, a chuck control system, a lithography apparatus and a method of using a chuck
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
KR102209735B1 (ko) 2013-02-07 2021-02-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치
US20150228514A1 (en) 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
CN104176699A (zh) * 2014-07-18 2014-12-03 苏州能斯达电子科技有限公司 一种具有绝热沟槽的mems硅基微热板及其加工方法
TWI656596B (zh) 2014-08-26 2019-04-11 荷蘭商Asml控股公司 靜電夾具及其製造方法
US10324383B2 (en) 2015-10-06 2019-06-18 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637172A (ja) * 1992-05-19 1994-02-10 Nikon Corp 基板保持装置
JPH07106244A (ja) * 1993-08-13 1995-04-21 Sony Corp 露光方法および露光装置
JPH11135407A (ja) * 1997-10-28 1999-05-21 Nikon Corp 露光方法および装置
JP2003282685A (ja) * 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
JP2005197447A (ja) * 2004-01-07 2005-07-21 Canon Inc 露光装置及びデバイス製造方法
JP2005353982A (ja) * 2004-06-14 2005-12-22 Ricoh Co Ltd アライメント装置
JP2007043042A (ja) * 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
JP2007142238A (ja) * 2005-11-21 2007-06-07 Nikon Corp 基板保持装置、露光装置及びデバイスの製造方法
JP2008199006A (ja) * 2007-01-24 2008-08-28 Asml Holding Nv ウェーハチャックおよびウェーハチャックを形成する方法
JP2007235171A (ja) * 2007-05-17 2007-09-13 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP2009200486A (ja) * 2008-02-20 2009-09-03 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2010114397A (ja) * 2008-11-10 2010-05-20 Canon Inc 露光装置およびデバイス製造方法
JP2015519755A (ja) * 2012-05-29 2015-07-09 エーエスエムエル ネザーランズ ビー.ブイ. 支持装置、リソグラフィ装置及びデバイス製造方法
JP2013251311A (ja) * 2012-05-30 2013-12-12 Nikon Corp 露光装置、露光方法、デバイス製造方法、プログラム、及び記録媒体
WO2014093306A1 (en) * 2012-12-11 2014-06-19 Schneider Electric Buildings, Llc Fast attachment open end direct mount damper and valve actuator
JP2015035448A (ja) * 2013-08-07 2015-02-19 日本特殊陶業株式会社 静電チャック

Also Published As

Publication number Publication date
KR20190126450A (ko) 2019-11-11
CN108139684B (zh) 2021-06-18
JP2022023178A (ja) 2022-02-07
TWI733234B (zh) 2021-07-11
US20180321602A1 (en) 2018-11-08
TWI732788B (zh) 2021-07-11
TW201723676A (zh) 2017-07-01
CN110716396A (zh) 2020-01-21
TW202006478A (zh) 2020-02-01
USRE49066E1 (en) 2022-05-10
NL2017576A (en) 2017-04-11
CN110716396B (zh) 2022-05-31
JP7369753B2 (ja) 2023-10-26
CN108139684A (zh) 2018-06-08
US10324383B2 (en) 2019-06-18
KR20180059936A (ko) 2018-06-05
WO2017060259A1 (en) 2017-04-13

Similar Documents

Publication Publication Date Title
JP7369753B2 (ja) リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
JP2018531410A6 (ja) リソグラフィ装置のオブジェクトを保持するためのチャック及びクランプ、並びにリソグラフィ装置のクランプによって保持されるオブジェクトの温度を制御する方法
JP6618529B2 (ja) 静電クランプおよびその製造方法
US10908518B2 (en) Lithographic apparatus and method
US9752807B2 (en) Lithographic apparatus and to a reflector apparatus
KR20110046545A (ko) 높은 열전도율을 갖는 euv 레티클 기판들
KR20150058455A (ko) 리소그래피 방법 및 장치
TWI539242B (zh) 微影裝置及元件製造方法
US20050134827A1 (en) Lithographic apparatus and device manufacturing method
JP4756101B2 (ja) 物品支持体、リソグラフィ装置、及び液浸リソグラフィ装置
KR20160091979A (ko) 장치, 디바이스 및 디바이스 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191002

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191002

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210304

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210630