KR20180027311A - 플라스마 처리 장치 및 플라스마 처리 방법 - Google Patents

플라스마 처리 장치 및 플라스마 처리 방법 Download PDF

Info

Publication number
KR20180027311A
KR20180027311A KR1020170000136A KR20170000136A KR20180027311A KR 20180027311 A KR20180027311 A KR 20180027311A KR 1020170000136 A KR1020170000136 A KR 1020170000136A KR 20170000136 A KR20170000136 A KR 20170000136A KR 20180027311 A KR20180027311 A KR 20180027311A
Authority
KR
South Korea
Prior art keywords
etching
plasma
emission intensity
film
light emission
Prior art date
Application number
KR1020170000136A
Other languages
English (en)
Other versions
KR101995811B1 (ko
Inventor
다이스케 시라이시
아키라 가고시마
유지 나가타니
사토미 이노우에
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20180027311A publication Critical patent/KR20180027311A/ko
Application granted granted Critical
Publication of KR101995811B1 publication Critical patent/KR101995811B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은, 소정의 깊이를 형성하는 에칭 처리를 복수 매 시료에 대해서 안정하게 행함과 함께 에칭 깊이의 편차를 억제할 수 있는 것을 과제로 한다.
본 발명은, 플라스마를 이용해서 피에칭막이 에칭되는 처리실과, 상기 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 플라스마의 발광을 모니터링하는 모니터부를 구비하는 플라스마 처리 장치에 있어서, 상기 피에칭막의 플라스마 에칭에 의해 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 취득된 발광 강도와, 상기 피에칭막의 에칭량과 상기 발광 강도와의 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량이 추정되는 연산부를 더 구비하는 것을 특징으로 한다.

Description

플라스마 처리 장치 및 플라스마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은 플라스마 처리 장치 및 플라스마 처리 방법에 관한 것이며, 특히 소정 깊이에서 에칭을 멈추는 처리에 바람직한 플라스마 처리 장치 및 플라스마 처리 방법에 관한 것이다.
피에칭 재료에 깊이 방향의 도중인 소정 깊이에서 에칭을 종료시킬 경우, 피에칭 재료와 다른 재료의 에칭 스토퍼막이 없기 때문에, 플라스마 에칭 중의 발광 강도 변화를 이용해서 에칭의 종점 판정을 행하는 기술은 사용하지 않는다. 이 때문에, 이러한 경우에는 에칭면으로부터의 반사광과의 간섭을 이용해서 홈의 깊이를 산출하고 에칭의 종점을 판정하는 기술이 알려져 있다.
그러나, 피에칭 재료의 재질에 따라서는 광을 투과해서 간섭광을 이용할 수 없는 재료가 있다. 이러한 경우에는 사전에 처리 시간과 에칭 깊이와의 관계를 구해두고 에칭 처리 시간에서 에칭 깊이를 간접적으로 관리한다. 이러한 시간 관리의 경우에는 에칭 처리를 반복함에 따라서 경시 변화가 생겨 로트 내 또는 로트 간 웨이퍼에서의 편차가 생길 가능성이 있다. 이 때문에, 에칭 처리의 경시 변화를 억제함과 함께 플라스마 처리의 안정화를 도모하기 위하여, 에칭 처리 전에 에이징 또는 시즈닝이라 불리는 안정화 처리나 에칭 처리 후의 클리닝 처리가 행해진다.
종래, 이러한 처리를 행하는 처리 장치로서 특허문헌 1에는, 반도체 디바이스 제조의 트렌치 에칭 처리에 있어서, 에칭 처리 대상 웨이퍼의 피에칭 패턴 밀도 기인의 홈 깊이 변동량 및, 과거의 홈 깊이 데이터 및 설정 에칭 시간 데이터로부터 산출한 장치·챔버 기인의 홈 깊이의 시계열 변동량을 구하고, 이 변동들을 보정하는 에칭 시간을 산출하는 것에 의해 트렌치 에칭에 있어서 요구되는 홈 깊이의 편차를 억제하는 처리 장치가 개시되어 있다.
일본국 특개2005-347585호 공보
전술한 에칭 스토퍼막을 이용하지 않는 에칭일 경우의 경시 변화에 있어서, 처리실 내벽에의 반응 생성물의 퇴적량에 따라서 에칭 깊이가 변동하는 현상이 발생하는 경우가 있다. 이러한 현상의 에칭의 상태에 대하여 도 7을 이용해서 설명한다.
도 7의 (a)는 에칭 깊이가 깊게 에칭될 경우의 웨이퍼의 단면 형상을 나타내고 있다. 또한, 도 7의 (b)는 에칭 깊이가 얕게 에칭될 경우의 웨이퍼의 단면 형상을 나타내고 있다. 이러한 막 구조의 에칭을 실시할 경우, 에칭 대상막(72)의 아래에 하지(下地)막(에칭 대상막(72)의 스토퍼막)이 존재하지 않기 때문에, 플라스마의 발광을 이용한 종점 판정을 실시하는 것은 곤란하다. 그 때문에, 에칭 대상막(72)의 에칭 처리는 사전에 구해진 시간에서 처리를 행한다. 이러한 에칭 처리에서는 처리실의 내벽 온도, 소모 정도, 부착물의 종류, 부착물의 양 등의 상태에 따라서 에칭 깊이가 변동해 버릴 가능성이 있다.
이러한 상태는, 예를 들면 마스크막(71)이 질화 실리콘막(Si3N4) 등, 에칭 대상막(72)이 실리콘 산화막(SiO2) 등이라는 막 구조에 있어서, 에칭 대상막(72)을 플루오로카본 가스(CF4 가스 등)를 이용해서 에칭 처리를 실시하는 경우나, 불소 함유 가스(SF6 가스, NF3 가스 등)에 하이드로카본계의 가스(CH4 가스 등)를 첨가한 가스계로 에칭 처리를 실시할 경우에 발생한다. 에칭 대상막(72)(SiO2)은 불소 함유 가스와 반응해서 SiF4가 생성되어 에칭이 진행한다.
단, 전술한 바와 같은 막 구조와 가스계에서는, 도 7에 나타내는 바와 같이 에칭 대상막(72)을 에칭 처리한 후, 웨이퍼 상에 카본계의 부착물(73)이 부착된다. 그 후, 산소계의 가스(O2 등)를 함유하는 가스계로 부착물(73)을 제거하고 에칭 처리가 종료된다. 이 부착막(73)은 처리실의 내벽에도 동시에 퇴적된다. 처리실의 내벽에 부착물(73)이 많이 퇴적되었을 경우 웨이퍼에 퇴적되는 부착막(73)의 양은 적어지고, 반대로 처리실의 내벽에의 퇴적량이 적은 경우는 웨이퍼에 퇴적되는 부착물(73)의 양이 많아진다. 이와 같이, 부착물(73)이 웨이퍼 상에 퇴적되는 두께는 처리실의 내벽의 상태에 따라서 시시각각 변화한다.
웨이퍼 상에 퇴적되는 부착물(73)은 에칭 대상막(73)의 에칭 반응을 저해하는 작용을 갖는다. 그 때문에, 도 7의 (a)에 나타내는 바와 같이 부착물(73)의 퇴적량이 적은 경우는 에칭 대상막(72)의 깊이가 깊어지고, 도 7의 (b)에 나타내는 바와 같이 부착물(73)의 퇴적량이 많을 경우에는 에칭 대상막(72)의 깊이가 얕아진다. 도 8은 플라스마 에칭 장치가 도 7에 나타내는 반도체 웨이퍼를 처리했을 때의 에칭 깊이의 변동을 나타내는 그래프이다. 도 8에 나타내는 바와 같이 웨이퍼의 처리 매수를 거듭할 수록 에칭 깊이가 변동해 버린다.
그러나, 종래기술은 시료마다의 에칭 깊이의 안정화의 점에 대하여 충분히 배려되어 있지 않았다. 즉, 복수 매의 시료 처리에 있어서 시료마다의 에칭 깊이가 균일하지 않을 경우, 정보 센서로부터 어떠한 검출 데이터를 취득해 처리 조건을 보정하면 되는지 시사되어 있지 않다. 또한, 종래기술은 로트 처리 전의 로트 전 안정화 처리의 데이터를 이용하고 있기 때문에, 1매마다의 시료에 있어서의 에칭 깊이의 조건을 구하는 데이터로서는 적합하지 않다.
또한, 특허문헌 1에 개시된 종래기술은 에칭 처리의 안정화의 점에 대하여 배려되어 있지 않았다. 즉, 에칭 시간을 변경해서 에칭 깊이의 편차를 저감하고 있지만, 환언하면 에칭 상태가 변화되어 있게 된다. 이 때문에 에칭 처리의 안정화는 불충분할 것으로 생각된다.
이 때문에 본 발명은, 소정의 깊이를 형성하는 에칭 처리를 복수 매 시료에 대해서 안정하게 행함과 함께 에칭 깊이의 편차를 억제할 수 있는 플라스마 처리 장치 및 플라스마 처리 방법을 제공한다.
본 발명은, 플라스마를 이용해서 피에칭막이 에칭되는 처리실과, 상기 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 플라스마의 발광을 모니터링하는 모니터부를 구비하는 플라스마 처리 장치에 있어서, 상기 피에칭막의 플라스마 에칭에 의해 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 취득된 발광 강도와, 피에칭막의 에칭량과 발광 강도와의 사전에 취득된 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량이 추정되는 연산부를 더 구비하는 것을 특징으로 한다.
또한, 본 발명은, 플라스마를 이용해서 피에칭막을 에칭하는 플라스마 처리 방법에 있어서, 상기 피에칭막의 플라스마 에칭에 의해 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 발광 강도를 모니터링하고, 상기 모니터링된 발광 강도와, 피에칭막의 에칭량과 발광 강도와의 사전에 취득된 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량을 추정하는 것을 특징으로 한다.
본 발명은, 소정의 깊이를 형성하는 에칭 처리를 복수 매 시료에 대해서 안정하게 행함과 함께 에칭 깊이의 편차를 억제할 수 있다.
도 1은 본 발명의 일 실시예에 따른 플라스마 에칭 장치의 구성의 개략을 나타내는 도면.
도 2는 연산부(14)의 구성을 나타내는 모식도.
도 3은 본 발명의 일 실시예에 따른 플로차트.
도 4는 도 3의 플로차트의 설명을 보충하기 위한 모식도.
도 5는 도 7에 도시된 웨이퍼가 처리되었을 때의 발광 강도와 부착물 제거 스텝 시간의 관계를 나타내는 모식도.
도 6은 발광 강도비(CO/Ar)와 에칭 깊이의 상관관계를 나타내는 도면.
도 7은 에칭 깊이와 부착물의 두께의 관계를 나타내는 도면.
도 8은 도 7에 도시된 웨이퍼가 처리되었을 때의 에칭 깊이의 변동을 나타내는 그래프.
본 발명의 일 실시형태는, 후술하는 도 4에 나타내는 바와 같은, 에칭 스텝을 반복하면서 행해지는 사이클 에칭에 있어서, 상기 사이클 에칭의 각 사이클 에칭 중에 퇴적된 퇴적막을 제거할 때의 발광 강도를 이용해서 추정된 에칭 깊이에 의거하여 사이클 에칭 처리를 종료함으로써 에칭 깊이의 편차를 억제하는 플라스마 처리 장치에 관한 것이다. 이하, 이 일 실시형태에 대하여 도면을 참조하면서 설명한다.
도 1은 본 발명의 일 실시예에 따른 플라스마 에칭 장치(1)의 구성의 개략을 설명하는 모식도이다. 본 실시예의 플라스마 에칭 장치(1)는, 플라스마 처리가 행해지는 처리실(2)과, 이 처리실(2) 내에 공급되는 처리 가스의 공급량 및 속도를 조절하는 매스플로우 컨트롤러(3)와, 처리실(2)에 공급된 처리 가스를 여기(勵起)해서 플라스마를 생성하기 위한 고주파 전력을 공급하는 플라스마 생성용 고주파 전원(4)과, 처리실(2) 내의 가스를 배기하는 진공 펌프를 포함하는 배기 장치(5)를 구비한다. 또한, 처리실(2) 내의 플라스마가 생성되는 공간의 아래쪽에는 처리 대상의 시료인 웨이퍼(6)가 그 상면에 놓여져 유지되는 시료대(7)가 배치되어 있다.
에칭 처리에 사용되는 처리 가스는 매스플로우 컨트롤러(3)를 통해서 처리실(2) 내에 공급된다. 또한 처리실(2) 위쪽에 배치된 도파관 등의 전파(傳播) 수단에 의해서 처리실 위쪽으로부터 도입되고, 플라스마 발생용 고주파 전원(4)에 의해 공급된 소정의 주파수(예를 들면 2.45㎓)의 고주파 전력과 처리실(2)의 위쪽 및 옆쪽의 외주에서 처리실(2)을 둘러싸고 배치된 자장 형성 수단(16)으로부터 처리실(2) 내에 형성된 자장과의 상호 작용에 의해, 가스의 입자가 여기되어 플라스마(8)가 생성된다.
시료대(7) 내부에 배치된 도전체제의 전극에 접속된 바이어스용 고주파 전원(9)으로부터 공급되는 고주파 전력에 의해 시료대(7) 또는 이것의 상면의 재치면(載置面) 상에 유지된 웨이퍼(6)의 상면 위쪽에 바이어스 전계가 형성된다. 이 형성된 바이어스 전계에 의해 플라스마(8) 내의 하전 입자(이온)가 유인되어 웨이퍼(6) 표면에 미리 형성되어 배치되어 있는 박막과 충돌한다. 이것에 의해 웨이퍼(6)의 표면이 활성화되고 플라스마(8) 중의 반응성 입자와 막을 구성하는 재료의 화학적, 물리적 상호 작용이 촉진되어 대상막의 에칭 처리가 진행된다.
또, 처리실(2) 내의 압력은, 압력계(10)로부터의 측정값을 기준값과 비교하고, 상기 비교한 결과를 기초로 가변 컨덕턴스 밸브(11)의 회전 각도의 위치를 조정해서 배기량 속도를 조정하는 것에 의해서 처리에 적절한 압력으로 유지된다. 또한, 가변 컨덕턴스 밸브(11)는, 수평 방향으로 배치된 축 방향으로 회전해서 처리실(2) 내와 배기 장치(5) 사이를 연통(連通)하는 통로의 개구의 면적을 가변으로 조절하는 복수 매의 판을 구비하는 밸브이다.
플라스마 처리중에 생성되는 플라스마(8)의 발광은, 처리실(2)의 측벽의 벽 부재에 배치된 투광성 부재에 의해서 구성된 관찰창(12)을 통하여 모니터인 수광기(13)에 의해 관측되어 그 강도가 검출된다. 수광기(13)에 의해서 검출된 플라스마(8)의 발광 강도에 관한 신호는, 이것과 통신 가능하게 배치된 연산부(14)에 송신되고, 연산부(14)에 있어서 수신한 신호로부터 소정의 양을 산출 또는 검출한다. 연산부(14)에서는, 검출한 플라스마(8)의 발광 강도를 사용해서 에칭 처리를 계속할지의 여부를 판정하며, 또한 다음의 에칭 시간을 연산해서 상기 판정 결과 및 연산 결과를 제어부(15)에 송신한다.
제어부(15)는, 플라스마 에칭 장치(1)의 상기한 매스플로우 컨트롤러(3), 배기 장치(5), 플라스마 생성용 고주파 전원(4), 자장 형성 수단(16), 바이어스용 고주파 전원(9), 압력계(10), 가변 컨덕턴스 밸브(11)의 회전 구동 장치 등과 통신 수단을 통해서 접속되며, 이들로부터 송신되는 신호를 수신하고, 필요한 동작을 지령하는 신호를 이들에 발신해서 그 동작을 제어하는 장치이다.
본 실시예에서의 제어부(15)는, 도 4에 나타내는 바와 같이 마스크막(71)을 마스크로 해서 아래쪽에 배치된 에칭 대상막(72)을 에칭하며, 에칭 대상막(72)이 소정의 깊이로 될 때까지 에칭 처리를 행할 경우의 플라스마 처리에 관한 제어를 행한다. 보다 구체적으로는, 제어부(15)는, 에칭 스텝과 부착물 제거 스텝을 하나의 사이클로 해서 1매의 웨이퍼(6)를 몇 사이클로 처리하고, 사이클마다 에칭 깊이를 추정해, 플라스마 처리 조건을 변경하는 것에 의해 에칭 깊이의 변동을 억제시키는 제어를 행한다. 다음으로 연산부(14)의 구성에 대하여 설명한다.
도 2는 연산부(14)의 구성을 설명하는 모식도이다. 본 실시예에 있어서, 소정의 샘플링 시간마다 수광기(13)로부터 연산부(14) 내의 발광 강도 면적 연산부(21)에 플라스마(8)의 발광 강도에 관한 신호가 보내진다. 발광 강도 면적 연산부(21)에서는, 수광기(13)로부터 보내진 발광 강도의 값에 의거하여 퇴적막인 부착물(73)을 제거하는 에칭 스텝에 있어서, 부착물(73)의 제거를 나타내는 파장의 트렌드(CO(298㎚)와 Ar(419㎚)의 비 등)를 추출하고, 그때의 발광 강도 면적(53)을 연산한다. 연산된 발광 강도 면적(53)은 발광 강도 면적 적산부(22)에 보내진다. 또, 발광 강도 면적(53)은 후술하는 도 4에 도시된 발광 강도 면적(53)이다.
발광 강도 면적 적산부(22)에서는, 발광 강도 면적 연산부(21)로부터 보내진 발광 강도 면적(53)의 값과, 후술하는 발광 강도 면적 유지부(23)로부터 보내진 발광 강도 면적 적산값을 기초로 당해 웨이퍼(6) 처리중에 연산된 발광 강도 면적(53)의 값을 적산한다. 적산된 발광 강도 면적 적산값은 발광 강도 면적 유지부(23)와 에칭 깊이 추정값 연산부(24)에 보내진다.
발광 강도 면적 유지부(23)에서는 발광 강도 면적 적산부(22)로부터 보내진 발광 강도 면적 적산값을 유지한다. 유지된 발광 강도 면적 적산값은 발광 강도 면적 적산부(22)에 보내져, 다음의 에칭 사이클에 있어서의 발광 강도 면적 적산값의 연산에 이용된다. 또한, 에칭 처리 개시 시에 발광 강도 면적 유지부(23) 내의 값은 초기화된다.
에칭 깊이 추정값 연산부(24)는, 발광 강도 면적 적산부(22)로부터 보내진 발광 강도 면적 적산값과, 발광 강도 면적-에칭 깊이 데이터베이스(29)에 저장된 정보를 이용해서 에칭 깊이 추정값을 산출하고, 산출한 에칭 깊이 추정값을 에칭 깊이 추정값 유지부(25)에 송신한다. 또, 발광 강도 면적-에칭 깊이 데이터베이스(29)에는 발광 강도 면적과 에칭 깊이 상관관계 데이터가 저장되어 있다. 다음으로 발광 강도 면적-에칭 깊이 데이터베이스(29)에 대하여 구체적으로 설명한다.
[표 1]
Figure pat00001
표 1에 나타내는 발광 강도 면적-에칭 깊이 데이터베이스(29)는, 적어도 레시피 No., 웨이퍼 제품 정보, 발광 강도 면적, 에칭 깊이의 4항목으로 이루어진다. 각 레시피 No.에 복수의 웨이퍼 제품 정보가 관련지어져 있다. 또, 여기에서의 발광 강도 면적은 각 에칭 사이클마다 적산된 적산값으로서의 발광 강도 면적이다. 또한, 각 웨이퍼 제품 정보에 복수의 발광 강도 면적과 에칭 깊이가 관련지어져 있다.
각 웨이퍼 제품 정보의 발광 강도 면적과 에칭 깊이에는 도 6에 나타내는 발광 강도 면적과 에칭 깊이의 값을 저장한다. 이 값들은 사전에 실험 등으로 취득해 둘 필요가 있다. 이 값들을 웨이퍼 제품마다 저장하는 것에 의해, 각 웨이퍼 제품의 발광 강도 면적과 에칭 깊이의 관계가 명확해져, 수광부(13)에서 취득한 발광 강도의 값으로부터 에칭 깊이의 추정값을 연산하는 것이 가능해진다.
또한, 이 발광 강도 면적과 에칭 깊이의 값을 직접, 발광 강도 면적-에칭 깊이 데이터베이스(29)에 저장해도 되지만, 발광 강도 면적이나 에칭 깊이의 값에는 측정 오차가 포함될 가능성이 있기 때문에, 노이즈 제거를 목적으로 해서 에칭 깊이와 발광 강도 면적의 상관 그래프(도 6)에 대해서 근사식의 직선(61) 또는 곡선을 산출하고, 이 근사식의 직선(61) 또는 곡선에 있어서의 발광 강도 면적과 에칭 깊이의 값을 저장해도 된다.
[표 2]
Figure pat00002
표 2에 나타내는 에칭 깊이 목표값 데이터베이스(30)는, 적어도 레시피 No., 웨이퍼 제품 정보, 에칭 깊이 목표값으로 이루어진다. 또한, 목적에 따라서 에칭 깊이 목표 하한값, 에칭 깊이 목표 상한값을 추가해도 된다. 각 레시피 No.에 복수의 웨이퍼 제품 정보가 관련지어져 있다. 또한, 각 웨이퍼 제품 정보에 적어도 하나의 에칭 깊이 목표값이 관련지어져 있고, 각 제품 디바이스용의 웨이퍼(6)에 대해서 최적인 에칭 깊이를 에칭 깊이 목표값에 대응시켜서 저장한다.
이들에 의해, 에칭 깊이 추정값과 에칭 깊이 목표값의 비교가 가능해져, 에칭 사이클의 계속 가능 여부의 판정이나, 다음 사이클의 에칭 시간을 연산하는 것이 가능해진다. 또한, 에칭 깊이 목표 하한값, 에칭 깊이 목표 상한값에 의거해서 에칭 사이클의 계속 가능 여부의 판정을 실시하거나, 에칭 깊이 추정값이 상한값, 하한값을 넘었을 경우에 에러를 발생시키는 기능을 추가해도 된다.
에칭 깊이 추정값 유지부(25)에서는 에칭 깊이 추정값 연산부(24)로부터 보내진 에칭 깊이 추정값을 당해 웨이퍼(6) 처리중 동안 유지한다. 1매의 웨이퍼(6)의 처리중에는 복수 회의 에칭 깊이 추정값이 연산되며, 그 1매의 웨이퍼(6) 처리중의 복수의 에칭 깊이 추정값을 유지한다. 유지한 에칭 깊이 추정값을 사이클 계속 판정부(26)와 다음 사이클의 에칭 깊이 예측값 연산부(27)에 송신한다.
사이클 계속 판정부(26)에서는, 에칭 깊이 추정값 유지부(25)로부터 보내진 복수의 에칭 깊이 추정값과, 에칭 깊이 목표값 데이터베이스(30)에 저장된 정보를 이용해서 에칭 사이클(에칭 스텝과 부착물 제거 스텝)을 계속할지의 여부를 판정하고 판정 결과를 제어부(15)에 송신한다. 사이클 계속 판정부(26)가 사이클 계속으로 판정했을 경우 제어부(15)는 에칭 사이클을 계속한다. 또한, 사이클 계속 판정부(26)가 사이클 정지로 판정했을 경우 제어부(15)는 에칭 처리를 종료한다.
다음 사이클의 에칭 깊이 예측값 연산부(27)에서는, 에칭 깊이 추정값 유지부(25)로부터 보내진 복수의 에칭 깊이 추정값에 대해, 일차의 근사식이나 로그의 근사식을 적용해서 다음 사이클에서의 에칭 깊이를 예측한다. 예측한 다음 사이클에서의 에칭 깊이를 다음 사이클의 에칭 시간 연산부(28)에 송신한다.
다음 사이클의 에칭 시간 연산부(28)에서는, 다음 사이클의 에칭 깊이 예측값 연산부(27)로부터 보내진 다음 사이클에서의 에칭 깊이 예측값과, 에칭 깊이 목표값 데이터베이스(30)에 저장된 정보를 이용해서 다음 사이클에서의 에칭 시간을 연산하고, 연산한 에칭 시간을 제어부(15)에 송신한다. 제어부(15)에서는 송신된 에칭 시간에 의거해서 다음의 에칭 사이클의 에칭 시간을 구한다. 다음으로 본 발명에 따른 플라스마 처리에 대하여 도 3에 나타내는 플로차트를 이용하면서 설명한다.
도 3은 스텝 1 내지 스텝 7의 7스텝의 처리로 구성되어 있다. 스텝 1 내지 스텝 7, 스텝 1 내지 스텝 6, 또는 스텝 1 내지 스텝 5를 하나의 에칭 사이클이라 한다. 1매의 웨이퍼(6)를 복수 회의 에칭 사이클을 이용해서 에칭 처리를 실행한다. 이하에 도 3의 플로차트를 이용해서 1회째의 에칭 사이클의 처리의 흐름을 설명한다.
웨이퍼(6)가 반송용의 로봇(도시하지 않음)에 의해 반송되어 시료대(7) 위에 얹혀진 후 시료대(7)에 유지된다. 그 후, 처리실(2) 내가 기밀로 밀봉되어 매스플로우 컨트롤러(3)로부터 처리용 가스가 공급된다. 그리고 처리실(2) 내에 플라스마가 생성되어 웨이퍼(6) 상의 도 7에 나타내는 막 구조를 대상으로 해서 에칭 처리가 개시된다. 에칭 처리의 개시 후 스텝 1에 있어서 제어부(15)로부터 지령과 정보가 발신되어, 에칭 대상막(72)을 대상으로 한 소정의 처리 조건을 이용해서 에칭 처리가 개시된다. 에칭 처리는 소정의 시간만큼 계속된 후 종료된다. 도 4의 (a-1)은 스텝 1이 종료된 후의 웨이퍼(6)의 단면 막 구조를 나타낸 도면이다. 에칭 대상막(72)이 에칭되어 부착물(73)이 마스크막(71) 및 에칭 대상막(72) 위를 덮고 있다.
여기에서, 도 4는 SiO2의 에칭, 부착물 제거, 발광 강도 측정, 에칭 깊이 추정의 각각을 나타내는 도면이다. 도 3의 스텝 1이 도 4의 SiO2의 에칭과 대응해 있고, 도 3의 스텝 2가 도 4의 부착물 제거와 대응해 있고, 도 3의 스텝 3이 도 4의 발광 강도 측정과 대응해 있고, 도 3의 스텝 4 내지 스텝 7까지가 도 4의 에칭 깊이 추정과 대응해 있다. 또한, 전술한 바와 같이, 1매의 웨이퍼(6)를 복수 회의 에칭 사이클을 이용해서 에칭 처리를 실행하지만, 도 4에서는 3회의 에칭 사이클을 이용해서 에칭 처리를 실행했을 때의 예를 나타내고 있다.
다음으로 스텝 2에 있어서, 제어부(15)로부터 지령과 정보가 발신되어, 부착물(73)을 제거하기 위한 소정의 처리 조건을 이용해서 부착물 제거 처리가 개시된다. 부착물 제거 처리는 소정의 시간만큼 계속된 후 종료된다. 도 4의 (a-2)는 스텝 2가 종료된 후의 웨이퍼(6)의 단면 막 구조를 나타낸 도면이다. 마스크막(71) 및 에칭 대상막(72) 위를 덮고 있던 부착물(73)이 제거되어 있다.
다음으로 스텝 3에 있어서, 스텝 2에서 실시한 부착물 제거 처리 시의 발광 강도 측정을 실시한다. 발광 강도 면적 연산부(21)에 있어서, 수광기(13)로부터 보내진 발광 강도 중에서, 스텝 2의 부착물 제거 처리 시의 부착물(73)의 제거를 나타내는 파장의 강도 트렌드(CO(298㎚)와 Ar(419㎚)의 비)를 추출한다. 도 4의 (a-3)은 추출한 파장의 강도 트렌드를 나타낸 도면이다. 추출한 파장의 강도 트렌드를 기초로 발광 강도 면적(53)을 산출한다. 산출한 발광 강도 면적(53)은 발광 강도 면적 적산부(22)에 보내진다. 또, 이 스텝 3은 편의상 스텝 3으로 했지만, 실제로는 상기한 스텝 2 후에 스텝 3이 행해지는 것은 아니며, 스텝 2와 함께 스텝 3이 행해진다.
발광 강도 면적 적산부(22)에서는, 금번의 에칭 사이클의 발광 강도 면적(53)과 전번까지의 에칭 사이클의 발광 강도 면적 적산값을 적산하지만, 1회째의 에칭 사이클에서는 전번까지의 에칭 사이클의 발광 강도 면적은 존재하지 않기 때문에, 1회째의 에칭 사이클의 발광 강도 면적(53)이 그대로 발광 강도 면적 적산값으로서 이용된다. 발광 강도 면적 적산값은 발광 강도 면적 유지부(23)와 에칭 깊이 추정값 연산부(24)에 보내진다.
다음으로 스텝 4에 있어서, 에칭 깊이의 추정 처리를 실시한다. 스텝 4에서는, 에칭 깊이 추정값 연산부(24)에 있어서, 발광 강도 면적 적산부(22)로부터 보내진 발광 강도 면적 적산값과, 발광 강도 면적-에칭 깊이 데이터베이스(29)에 저장된 정보를 이용해서 에칭 깊이 추정값을 산출하고, 산출한 에칭 깊이 추정값을 에칭 깊이 추정값 유지부(25)에 송신한다.
산출한 에칭 깊이 추정값은 에칭 깊이 추정값 유지부(25)에 유지된다. 도 4의 (a-4)는 에칭 깊이 추정값을 유지했을 때의 모식도이다. 플롯점(44)은 에칭 처리 전의 에칭 깊이이기 때문에 0의 값이 플롯되어 있고, 플롯점(44)에 금번 스텝 4에서 산출된 에칭 깊이 추정값이 플롯된다. 상기의 유지한 에칭 깊이 추정값을 사이클 계속 판정부(26)와 다음 사이클의 에칭 깊이 예측값 연산부(27)에 송신한다.
다음으로 스텝 5에 있어서 에칭 깊이 추정값과 에칭 깊이 목표 하한값의 비교를 행한다. 사이클 계속 판정부(26)에 있어서, 에칭 깊이 추정값 유지부(25)로부터 보내진 에칭 깊이 추정값과, 에칭 깊이 목표값 데이터베이스(30)에 저장된 에칭 깊이 목표 하한값의 비교를 행해, 에칭 깊이 추정값이 에칭 깊이 목표 하한값 이상이면 에칭 사이클을 종료하고, 에칭 깊이 추정값이 에칭 깊이 목표 하한값 미만이면 에칭 사이클을 계속한다. 1회째의 에칭 사이클에서는, 도 4의 (a-4)에 나타내는 바와 같이 에칭 깊이 추정값(45)이 에칭 깊이 목표 하한값(43)을 하회하고 있기 때문에, 에칭 사이클 계속 신호가 제어부(15)에 송신되고 에칭 사이클이 계속된다.
다음으로 스텝 6에 있어서, 다음의 에칭 사이클의 에칭 깊이를 예측하고, 예측한 에칭 깊이와 에칭 깊이 목표값의 비교를 행한다. 다음 사이클의 에칭 깊이 예측값 연산부(27)에 있어서, 에칭 깊이 추정값 유지부(25)로부터 보내진 복수의 에칭 깊이 추정값에 대하여 일차의 근사식이나 로그의 근사식을 적용해, 다음 사이클에서의 에칭 깊이를 예측한다. 1회째의 에칭 사이클에서는, 도 4의 (a-4)에 나타내는 바와 같이 에칭 깊이 추정값(44 및 45)의 이점을 이용해서 근사 직선을 작성하고, 2회째의 에칭 사이클을 실행한 경우의 에칭 깊이 예측값(46)을 산출한다. 예측한 다음 사이클에서의 에칭 깊이(46)를 다음 사이클의 에칭 시간 연산부(28)에 송신한다.
다음 사이클의 에칭 시간 연산부(28)에서는, 다음 사이클의 에칭 깊이 예측값 연산부(27)로부터 보내진 다음 사이클에서의 에칭 깊이 예측값과, 에칭 깊이 목표값 데이터베이스(30)에 저장된 에칭 깊이 목표값의 비교를 행한다. 그리고 다음 사이클에서의 에칭 깊이 예측값이 에칭 깊이 목표값보다도 큰 값인 경우는 다음 사이클에서의 에칭 시간을 변경하고, 다음 사이클에서의 에칭 깊이 예측값이 에칭 깊이 목표값 이하인 경우는 소정의 에칭 시간으로 에칭 처리를 실시한다. 1회째의 에칭 사이클에서는, 도 4의 (a-4)에 나타내는 바와 같이 다음 사이클에서의 에칭 깊이 예측값(46)이 에칭 깊이 목표값(42) 이하이기 때문에, 에칭 시간의 변경은 행해지지 않고 소정의 에칭 시간이 제어부(15)에 송신된다.
이상으로 1회째의 에칭 사이클이 종료되고 2회째의 에칭 사이클 처리가 개시된다. 이하에 도 3의 플로차트 및 도 4의 (b)를 이용해서 2회째의 에칭 사이클의 처리의 흐름을 설명한다.
1회째의 에칭 사이클이 종료된 후, 스텝 1 및 스텝 2에 있어서, 1회째의 에칭 사이클과 마찬가지로 에칭 대상막(72)의 에칭 처리 및 부착물(73)의 제거 처리가 실행되어, 도 4의 (b-1) 및 도 4의 (b-2)와 같은 결과로 된다. 다음으로 스텝 3에 있어서, 1회째의 에칭 사이클과 마찬가지로 발광 강도 면적(53)을 산출한다. 발광 강도 면적 적산부(22)에서는, 금번 발광 강도 면적 연산부(21)에서 산출한 발광 강도 면적(53)과(도 4의 (b-3)), 1회째의 에칭 사이클에서 발광 강도 면적 유지부(23)가 유지한 발광 강도 면적 적산값(도 4의 (a-3))을 적산해, 새로운 발광 강도 면적 적산값을 산출한다. 산출한 발광 강도 면적 적산값은 발광 강도 면적 유지부(23)와 에칭 깊이 추정값 연산부(24)에 보내진다.
다음으로 스텝 4에 있어서, 1회째의 에칭 사이클과 마찬가지로 에칭 깊이 추정값을 산출하고, 산출한 에칭 깊이 추정값을 에칭 깊이 추정값 유지부(25)에 송신한다. 다음으로 스텝 5에 있어서, 1회째의 에칭 사이클과 마찬가지로 에칭 깊이 추정값과 에칭 깊이 목표 하한값의 비교를 행한다. 2회째의 에칭 사이클에서는, 도 4의 (b-4)에 나타내는 바와 같이 에칭 깊이 추정값(47)이 에칭 깊이 목표 하한값(43)을 하회하고 있기 때문에, 에칭 사이클 계속 신호가 제어부(15)에 송신되고 에칭 사이클이 계속된다.
다음으로 스텝 6에 있어서, 1회째의 에칭 사이클과 마찬가지로 다음의 에칭 사이클의 에칭 깊이를 예측하고, 예측한 에칭 깊이와 에칭 깊이 목표값의 비교를 행한다. 2회째의 에칭 사이클에서는, 도 4의 (b-4)에 나타내는 바와 같이 에칭 깊이 추정값(44, 45, 47)의 3점을 이용해서 근사식(일차 함수나 로그 함수)을 작성하고, 3회째의 에칭 사이클을 실행했을 경우의 에칭 깊이 예측값(48)을 산출한다. 예측한 다음 사이클에서의 에칭 깊이(48)를 다음 사이클의 에칭 시간 연산부(28)에 송신한다.
다음으로 스텝 7에 있어서, 다음의 에칭 사이클의 에칭 시간을 산출한다. 다음 사이클의 에칭 시간 연산부(28)에서는, 1회째의 에칭 사이클과 마찬가지로 다음 사이클의 에칭 시간을 산출한다. 2회째의 에칭 사이클에서는, 도 4의 (b-4)에 나타내는 바와 같이 다음 사이클에서의 에칭 깊이 예측값(48)이 에칭 깊이 목표값(42)보다 크기 때문에, 에칭 시간의 변경을 실시한다. 변경 후의 에칭 시간 T2는 식 1에 의해 산출되며, 변경된 에칭 시간 T2가 제어부(15)에 송신된다. 또, T1은 변경 전의 에칭 시간이고, D1은 금번의 에칭 사이클 종료 시의 에칭 깊이 추정값이고, D2는 다음번의 에칭 사이클 종료 시의 에칭 깊이 예측값이고, Dt는 에칭 깊이 목표값이다.
T2=T1×(Dt-D1)/(D2-D1) (식 1)
이상으로 2회째의 에칭 사이클은 종료되고, 3회째의 에칭 사이클 처리가 개시된다. 이하에, 도 3의 플로차트 및 도 4의 (c)를 이용해서 3회째의 에칭 사이클의 처리의 흐름을 설명한다.
2회째의 에칭 사이클이 종료된 후, 스텝 1에 있어서, 변경된 에칭 시간을 이용하여 에칭 처리가 실시되고, 도 4의 (c-1)과 같은 결과로 된다. 다음으로 스텝 2 내지 스텝 5에 있어서, 2회째의 에칭 사이클과 마찬가지로 부착물 제거, 발광 강도 면적 산출, 에칭 깊이 추정값의 산출이 실시된다. 3회째의 에칭 사이클에서는, 도 4의 (c-4)에 나타내는 바와 같이 에칭 깊이 추정값(49)이 에칭 깊이 목표 하한값(43) 이상의 값이기 때문에, 에칭 사이클 정지 신호가 제어부(15)에 송신되고 에칭 처리가 종료된다.
이상의 흐름의 동작을 행하는 것에 의해 에칭 처리의 결과 얻어지는 에칭 깊이의 변동이 저감되어, 에칭 처리의 안정성 또는 재현성을 향상시킬 수 있다. 또한, 본 실시예에 의해 에칭 깊이의 변동을 저감할 수 있는 이유는 이하와 같이 생각된다.
도 5는, 도 1에 나타내는 플라스마 에칭 장치(1)가 도 7에 나타내는 웨이퍼를 처리했을 때의 발광 강도와 부착물 제거 스텝 시간의 관계를 설명하는 모식도이다. 또한, 도 5의 (a), (b), (c)는, 부착물(73)을 제거하는 에칭 스텝에 있어서, 수광기(13)에서 검출한 발광 강도(CO(298㎚)와 Ar(419㎚)의 비)의 추이를 나타낸 그래프이다. 상기 발광 강도는 주로 처리실(2) 내벽에 부착된 부착물(73)을 제거하는 모습을 나타내기에 적합한 파장이다.
카본계의 부착물(73)은 산소계 가스와 반응해 일산화탄소(CO)나 이산화탄소(CO2)로 되어 부착물(73)이 제거된다. 그 때문에, 카본계의 부착물(73)이 많이 제거되었을 경우, 도 5의 (a)의 발광 강도 트렌드(52)와 같이 높은 강도가 보이거나, 발광 강도 트렌드(52)가 저하할 때까지 시간이 걸리는 모습이 보인다. 한편, 카본계의 부착물(73)이 제거되는 양이 적을 경우, 도 5의 (c)의 발광 강도 트렌드(52)와 같이 강도가 낮아지거나, 발광 강도 트렌드(52)가 저하할 때까지의 시간이 짧아지는 모습이 보인다.
또한, 도 5의 (a)의 상태와 같이 처리실(2)의 내벽에 많은 부착물(73)이 부착되어 있었을 경우, 웨이퍼(6)에 부착되는 부착물(73)의 양이 적어지고, 그 결과 에칭 대상막(72)의 깊이가 깊어진다. 한편, 도 5의 (c)의 상태와 같이 처리실(2)의 내벽에 부착되는 부착물(73)의 양이 적을 경우, 웨이퍼(6)에 부착되는 부착물(23)의 양이 많아지고, 그 결과 에칭 대상막(72)의 깊이가 얕아진다. 도 5의 (b)는 도 5의 (a)와 도 5의 (c)의 중간의 상태이다.
다음으로 도 6은, 에칭 대상막(72)의 깊이와, CO(298㎚)의 발광 강도와 Ar(419㎚)의 발광 강도의 비로부터 산출된 발광 강도 면적(53)의 상관을 나타낸 그래프이다. 도 6에 나타내는 바와 같이 에칭 대상막(72)의 깊이와 발광 강도 면적(53)에는 양의 상관이 보이고 있으며, 발광 강도 면적(53)을 이용해서 에칭 대상막(72)의 깊이를 추정하는 것이 가능해진다. 이와 같이 발광 강도 면적(53)을 이용해서 에칭 대상막(72)의 깊이를 추정할 수 있게 된 것에 의해 에칭 깊이의 변동을 저감할 수 있었다.
또한, 본 실시예에서는, 도 6에 나타내는 바와 같이 「에칭 대상막(72)의 깊이」와 「발광 강도 면적」의 관계를 이용해서 「에칭 대상막(72)의 깊이」를 추정할 수 있는 것을 설명했지만, 「에칭 대상막(72)의 깊이」는, 에칭 대상막(72)의 에칭 레이트, 에칭 치수 등의 에칭 대상막(72)의 에칭량을 나타내는 것이어도 된다.
또한 본 실시예에서는, 추정된 에칭 대상막(72)의 깊이에 의거해서 에칭 사이클의 횟수를 결정했지만, 피드백 제어 또는 피드포워드 제어에 의해 플라스마 처리의 변동을 억제하는 제어(Advanced Process Control : APC)와 같이 추정된 에칭 대상막(72)의 깊이에 의거해서 다음 웨이퍼의 플라스마 에칭 조건에 반영 또는 다음의 웨이퍼의 플라스마 에칭 조건을 보정해도 된다.
1 : 플라스마 에칭 장치
2 : 처리실
3 : 매스플로우 컨트롤러
4 : 플라스마 생성용 고주파 전원
5 : 배기 장치
6 : 웨이퍼
7 : 시료대
8 : 플라스마
9 : 바이어스용 고주파 전원
10 : 압력계
11 : 가변 컨덕턴스 밸브
12 : 관찰창
13 : 수광기
14 : 연산부
15 : 제어부
16 : 자장 형성 수단
21 : 발광 강도 면적 연산부
22 : 발광 강도 면적 적산부
23 : 발광 강도 면적 유지부
24 : 에칭 깊이 추정값 연산부
25 : 에칭 깊이 추정값 유지부
26 : 사이클 계속 판정부
27 : 다음 사이클의 에칭 깊이 예측값 연산부
28 : 다음 사이클의 에칭 시간 연산부
29 : 발광 강도 면적-에칭 깊이 데이터베이스
30 : 에칭 깊이 목표값 데이터베이스

Claims (6)

  1. 플라스마를 이용해서 피에칭막이 에칭되는 처리실과, 상기 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 플라스마의 발광을 모니터링하는 모니터부를 구비하는 플라스마 처리 장치에 있어서,
    상기 피에칭막의 플라스마 에칭에 의해 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 취득된 발광 강도와, 피에칭막의 에칭량과 발광 강도와의 사전에 취득된 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량이 추정되는 연산부를 더 구비하는 것을 특징으로 하는 플라스마 처리 장치.
  2. 플라스마를 이용해서 피에칭막이 에칭되는 처리실과, 상기 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 플라스마의 발광을 모니터링하는 모니터부를 구비하는 플라스마 처리 장치에 있어서,
    에칭 스텝의 반복에 의해 행해지는 상기 피에칭막의 플라스마 에칭에 의해서 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 취득된 발광 강도와, 피에칭막의 에칭량과 발광 강도와의 사전에 취득된 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량이 추정되는 연산부와,
    상기 추정된 에칭량에 의거해서 상기 플라스마 에칭을 종료시키는 제어부를 더 구비하는 것을 특징으로 하는 플라스마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 피에칭막은, 실리콘 산화막이고,
    상기 발광 강도는, 일산화탄소의 발광 강도를 아르곤의 발광 강도에 의해 나눈 것이고,
    상기 퇴적막은, 플루오로카본 가스를 이용한 플라스마에 의해 퇴적되는 것을 특징으로 하는 플라스마 처리 장치.
  4. 플라스마를 이용해서 피에칭막을 에칭하는 플라스마 처리 방법에 있어서,
    상기 피에칭막의 플라스마 에칭에 의해 퇴적된 퇴적막을 플라스마에 의해 제거할 때에 발광 강도를 모니터링하고,
    상기 모니터링된 발광 강도와, 피에칭막의 에칭량과 발광 강도와의 사전에 취득된 상관관계에 의거해서 상기 플라스마 에칭 시의 에칭량을 추정하는 것을 특징으로 하는 플라스마 처리 방법.
  5. 제 4 항에 있어서,
    상기 추정된 에칭량에 의거해서 상기 플라스마 에칭을 종료시키고,
    상기 플라스마 에칭은, 에칭 스텝의 반복에 의해 행해지는 것을 특징으로 하는 플라스마 처리 방법.
  6. 제 4 항 또는 제 5 항에 있어서,
    상기 피에칭막은, 실리콘 산화막이고,
    상기 발광 강도는, 일산화탄소의 발광 강도를 아르곤의 발광 강도에 의해 나눈 것이고,
    상기 퇴적막은, 플루오로카본 가스를 이용한 플라스마에 의해 퇴적되는 것을 특징으로 하는 플라스마 처리 방법.
KR1020170000136A 2016-09-05 2017-01-02 플라스마 처리 장치 및 플라스마 처리 방법 KR101995811B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-172381 2016-09-05
JP2016172381A JP6557642B2 (ja) 2016-09-05 2016-09-05 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20180027311A true KR20180027311A (ko) 2018-03-14
KR101995811B1 KR101995811B1 (ko) 2019-07-03

Family

ID=61281295

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170000136A KR101995811B1 (ko) 2016-09-05 2017-01-02 플라스마 처리 장치 및 플라스마 처리 방법

Country Status (4)

Country Link
US (1) US10153217B2 (ko)
JP (1) JP6557642B2 (ko)
KR (1) KR101995811B1 (ko)
TW (1) TWI678734B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6730941B2 (ja) * 2017-01-10 2020-07-29 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11387110B2 (en) * 2019-06-20 2022-07-12 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
WO2021255812A1 (ja) * 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
JP2005347585A (ja) 2004-06-04 2005-12-15 Hitachi Ltd 半導体デバイス製造方法およびそのシステム
KR20100083670A (ko) * 2009-01-13 2010-07-22 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리장치의 시즈닝방법 및 시즈닝의 종료 판정방법
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
JP2014220360A (ja) * 2013-05-08 2014-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3223692B2 (ja) * 1994-03-17 2001-10-29 株式会社日立製作所 ドライエッチング方法
US5759424A (en) * 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
JP3638711B2 (ja) * 1996-04-22 2005-04-13 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP3380846B2 (ja) * 1997-11-05 2003-02-24 松下電器産業株式会社 半導体装置の製造方法
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6245669B1 (en) * 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
TW468227B (en) * 2000-12-07 2001-12-11 Taiwan Semiconductor Mfg High frequency metal oxide semiconductor field effect transistor structure
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
JP2009049382A (ja) * 2007-07-26 2009-03-05 Panasonic Corp ドライエッチング方法およびドライエッチング装置
JP4756063B2 (ja) * 2008-08-15 2011-08-24 株式会社東芝 半導体装置の製造方法
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2011233713A (ja) * 2010-04-27 2011-11-17 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2013222910A (ja) * 2012-04-19 2013-10-28 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
JP2005347585A (ja) 2004-06-04 2005-12-15 Hitachi Ltd 半導体デバイス製造方法およびそのシステム
KR20100083670A (ko) * 2009-01-13 2010-07-22 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리장치의 시즈닝방법 및 시즈닝의 종료 판정방법
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
JP2014220360A (ja) * 2013-05-08 2014-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法

Also Published As

Publication number Publication date
US10153217B2 (en) 2018-12-11
US20180068909A1 (en) 2018-03-08
JP6557642B2 (ja) 2019-08-07
KR101995811B1 (ko) 2019-07-03
TW201812898A (zh) 2018-04-01
TWI678734B (zh) 2019-12-01
JP2018041751A (ja) 2018-03-15

Similar Documents

Publication Publication Date Title
US9824866B2 (en) Plasma processing method
US10665516B2 (en) Etching method and plasma processing apparatus
KR100912478B1 (ko) 에칭처리장치 및 에칭처리방법
KR100521109B1 (ko) 처리 장치 및 클리닝 방법
JP4101280B2 (ja) 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
TWI529788B (zh) 電漿未侷限之偵測方法與裝置
KR101408456B1 (ko) 다중-구역 종료점 검출기
Blauw et al. Advanced time-multiplexed plasma etching of high aspect ratio silicon structures
KR101995811B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
KR102316547B1 (ko) 선택적 식각률 모니터
WO2002091453A9 (en) High pressure wafer-less auto clean for etch applications
JP2007158230A (ja) プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
JP2013222910A (ja) プラズマ処理方法およびプラズマ処理装置
JP2006294658A (ja) プラズマ処理装置
JP5967710B2 (ja) プラズマエッチングの終点検出方法
JP2000200772A (ja) プラズマ処理方法
KR101274526B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP5189859B2 (ja) プラズマ処理方法
JP3946467B2 (ja) ドライエッチング方法
JP5234591B2 (ja) 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
JP5731881B2 (ja) プラズマ処理装置及びその運転方法
KR20230105522A (ko) 기판 처리 장치 및 기판 처리 장치 세정 방법
JP2004152873A (ja) ドライエッチング装置の異常検出方法及び装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant