KR20170117378A - 도파 물질을 포함하는 집적 포토닉스 - Google Patents
도파 물질을 포함하는 집적 포토닉스 Download PDFInfo
- Publication number
- KR20170117378A KR20170117378A KR1020177018212A KR20177018212A KR20170117378A KR 20170117378 A KR20170117378 A KR 20170117378A KR 1020177018212 A KR1020177018212 A KR 1020177018212A KR 20177018212 A KR20177018212 A KR 20177018212A KR 20170117378 A KR20170117378 A KR 20170117378A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- optical structure
- light
- waveguide
- silicon
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 166
- 230000003287 optical effect Effects 0.000 claims abstract description 302
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 95
- 239000010703 silicon Substances 0.000 claims abstract description 95
- 238000000059 patterning Methods 0.000 claims abstract description 67
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 48
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 48
- 239000010410 layer Substances 0.000 claims description 597
- 238000000034 method Methods 0.000 claims description 327
- 229910052732 germanium Inorganic materials 0.000 claims description 127
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 127
- 238000004519 manufacturing process Methods 0.000 claims description 109
- 239000004020 conductor Substances 0.000 claims description 106
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 95
- 229910052751 metal Inorganic materials 0.000 claims description 92
- 239000002184 metal Substances 0.000 claims description 92
- 238000000137 annealing Methods 0.000 claims description 73
- 230000008569 process Effects 0.000 claims description 63
- 238000000151 deposition Methods 0.000 claims description 58
- 239000003989 dielectric material Substances 0.000 claims description 51
- 230000015572 biosynthetic process Effects 0.000 claims description 38
- 229910021332 silicide Inorganic materials 0.000 claims description 31
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 31
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 27
- 230000002829 reductive effect Effects 0.000 claims description 26
- 230000008021 deposition Effects 0.000 claims description 25
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 22
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 20
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 19
- 238000004140 cleaning Methods 0.000 claims description 19
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 17
- 238000001465 metallisation Methods 0.000 claims description 17
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 15
- 238000000637 aluminium metallisation Methods 0.000 claims description 14
- 238000001020 plasma etching Methods 0.000 claims description 14
- 238000002955 isolation Methods 0.000 claims description 13
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 12
- 239000010949 copper Substances 0.000 claims description 12
- 239000002243 precursor Substances 0.000 claims description 12
- 238000005530 etching Methods 0.000 claims description 11
- 238000011065 in-situ storage Methods 0.000 claims description 11
- 239000000758 substrate Substances 0.000 claims description 11
- 229910052782 aluminium Inorganic materials 0.000 claims description 10
- 238000004891 communication Methods 0.000 claims description 10
- 239000007789 gas Substances 0.000 claims description 10
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 claims description 10
- 230000008859 change Effects 0.000 claims description 9
- 238000005229 chemical vapour deposition Methods 0.000 claims description 9
- 229910021419 crystalline silicon Inorganic materials 0.000 claims description 9
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 8
- 229910052802 copper Inorganic materials 0.000 claims description 8
- 239000002019 doping agent Substances 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 8
- 239000000356 contaminant Substances 0.000 claims description 7
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims description 7
- 235000012239 silicon dioxide Nutrition 0.000 claims description 7
- 239000000377 silicon dioxide Substances 0.000 claims description 7
- 229910000078 germane Inorganic materials 0.000 claims description 6
- 150000002291 germanium compounds Chemical class 0.000 claims description 6
- 238000009499 grossing Methods 0.000 claims description 5
- 239000012159 carrier gas Substances 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 4
- 229910052759 nickel Inorganic materials 0.000 claims description 4
- 238000005240 physical vapour deposition Methods 0.000 claims description 4
- 239000011241 protective layer Substances 0.000 claims description 4
- 206010073306 Exposure to radiation Diseases 0.000 claims description 3
- 238000001125 extrusion Methods 0.000 claims description 3
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 3
- 238000005108 dry cleaning Methods 0.000 claims description 2
- 230000009977 dual effect Effects 0.000 claims description 2
- 238000001704 evaporation Methods 0.000 claims description 2
- 230000008020 evaporation Effects 0.000 claims description 2
- 238000000407 epitaxy Methods 0.000 claims 1
- 230000001131 transforming effect Effects 0.000 claims 1
- 239000013078 crystal Substances 0.000 abstract description 5
- 238000005468 ion implantation Methods 0.000 description 48
- 235000012431 wafers Nutrition 0.000 description 30
- 150000004767 nitrides Chemical class 0.000 description 19
- 238000005253 cladding Methods 0.000 description 16
- 238000012545 processing Methods 0.000 description 12
- 150000002500 ions Chemical class 0.000 description 11
- 238000011049 filling Methods 0.000 description 10
- 238000010586 diagram Methods 0.000 description 9
- 230000002093 peripheral effect Effects 0.000 description 9
- 238000000926 separation method Methods 0.000 description 9
- 230000007547 defect Effects 0.000 description 8
- 238000013461 design Methods 0.000 description 8
- 238000001459 lithography Methods 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 230000005540 biological transmission Effects 0.000 description 5
- 239000010408 film Substances 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 230000000670 limiting effect Effects 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 3
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 3
- 239000011162 core material Substances 0.000 description 3
- 239000007943 implant Substances 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- -1 planarization Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 230000002159 abnormal effect Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000008030 elimination Effects 0.000 description 2
- 238000003379 elimination reaction Methods 0.000 description 2
- 238000005538 encapsulation Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 2
- 230000002441 reversible effect Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000037007 arousal Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- LUXIMSHPDKSEDK-UHFFFAOYSA-N bis(disilanyl)silane Chemical compound [SiH3][SiH2][SiH2][SiH2][SiH3] LUXIMSHPDKSEDK-UHFFFAOYSA-N 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000007123 defense Effects 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000023077 detection of light stimulus Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005203 dry scrubbing Methods 0.000 description 1
- 230000014509 gene expression Effects 0.000 description 1
- TXFYZJQDQJUDED-UHFFFAOYSA-N germanium nickel Chemical compound [Ni].[Ge] TXFYZJQDQJUDED-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 229960002050 hydrofluoric acid Drugs 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 229910021339 platinum silicide Inorganic materials 0.000 description 1
- 238000002407 reforming Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
- 238000009279 wet oxidation reaction Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/08—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/13—Integrated optical circuits characterised by the manufacturing method
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/12002—Three-dimensional structures
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/13—Integrated optical circuits characterised by the manufacturing method
- G02B6/131—Integrated optical circuits characterised by the manufacturing method by using epitaxial growth
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/13—Integrated optical circuits characterised by the manufacturing method
- G02B6/132—Integrated optical circuits characterised by the manufacturing method by deposition of thin films
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02494—Structure
- H01L21/02496—Layer structure
- H01L21/02505—Layer structure consisting of more than two layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/14—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
- H01L27/144—Devices controlled by radiation
- H01L27/146—Imager structures
- H01L27/14601—Structural or functional details thereof
- H01L27/14625—Optical elements or arrangements associated with the device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0224—Electrodes
- H01L31/022408—Electrodes for devices characterised by at least one potential jump barrier or surface barrier
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0232—Optical elements or arrangements associated with the device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0232—Optical elements or arrangements associated with the device
- H01L31/02327—Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/0248—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
- H01L31/0256—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
- H01L31/0264—Inorganic materials
- H01L31/028—Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/0248—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
- H01L31/0256—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
- H01L31/0264—Inorganic materials
- H01L31/028—Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
- H01L31/0288—Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table characterised by the doping material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/0248—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
- H01L31/036—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
- H01L31/0392—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/04—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
- H01L31/054—Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/08—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
- H01L31/10—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/08—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
- H01L31/10—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
- H01L31/101—Devices sensitive to infrared, visible or ultraviolet radiation
- H01L31/102—Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
- H01L31/105—Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PIN type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/18—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
- H01L31/1804—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
- H01L31/1808—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12035—Materials
- G02B2006/12061—Silicon
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12083—Constructional arrangements
- G02B2006/121—Channel; buried or the like
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12083—Constructional arrangements
- G02B2006/12104—Mirror; Reflectors or the like
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12083—Constructional arrangements
- G02B2006/12123—Diode
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12166—Manufacturing methods
- G02B2006/12169—Annealing
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/13—Integrated optical circuits characterised by the manufacturing method
- G02B6/134—Integrated optical circuits characterised by the manufacturing method by substitution by dopant atoms
- G02B6/1347—Integrated optical circuits characterised by the manufacturing method by substitution by dopant atoms using ion implantation
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/13—Integrated optical circuits characterised by the manufacturing method
- G02B6/136—Integrated optical circuits characterised by the manufacturing method by etching
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/24—Coupling light guides
- G02B6/42—Coupling light guides with opto-electronic elements
- G02B6/43—Arrangements comprising a plurality of opto-electronic elements and associated optical interconnections
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/14—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
- H01L27/144—Devices controlled by radiation
- H01L27/146—Imager structures
- H01L27/14601—Structural or functional details thereof
- H01L27/14625—Optical elements or arrangements associated with the device
- H01L27/14629—Reflectors
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/52—PV systems with concentrators
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/547—Monocrystalline silicon PV cells
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Electromagnetism (AREA)
- Manufacturing & Machinery (AREA)
- Optics & Photonics (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Optical Integrated Circuits (AREA)
- Light Receiving Elements (AREA)
Abstract
광 구조는, 일 양태에 있어서, 광 에너지(light energy)를 전파하도록 구성된 도파 물질을 패터닝하여 형성된 하나 이상의 도파관을 포함할 수 있다. 이와 같은 도파 물질은 하나 이상의 (단결정, 다결정, 또는 비정질) 실리콘 및 실리콘 나이트라이드를 포함할 수 있다.
Description
[관련 출원에 대한 상호 참조]
본 출원은 2015년 1월 5일에 출원한 발명의 명칭이 "INTEGRATED PHOTONICS PROCESS ON SOI WAFER"인 미국 가출원 제62/099,848호의 우선권의 이득을 청구하며, 참조에 의해서 그 전체 내용이 본 발명에 합체된다. 2016년 1월 4일자로 출원한 발명의 명칭이 "INTEGRATED PHOTONICS INCLUDING GERMANIUM"인 미국 특허 출원 제14/987,693호(문서 관리 번호: 2835.140A)는 참조에 의해서 그 전체 내용이 본 발명에 합체된다. 2016년 1월 4일자로 출원한 발명의 명칭이 "INTEGRATED PHOTONICS INCLUDING WAVEGUIDING MATERIAL"인 미국 특허 출원 제14/987,710호(문서 관리 번호: 2835.140B)는 참조에 의해서 그 전체 내용이 본 발명에 합체된다.
[정부 권리 관련 진술]
본 발명은 미국 방위 고등 연구 계획국(DARPA, Defense Advanced Research Projects Agency)의 정부 지원하에 이루어졌으며, 승인 계약 번호는 HR0011-12-2-0007이다. 미국 정부는 본 발명에 있어서 소정의 권리를 가질 수 있다.
본 발명은 일반적으로 포토닉스(photonics)에 관한 것으로, 더욱 상세하게는 광 구조(photonic structure) 및 제조를 위한 공정에 관한 것이다.
시판 중인 광 집적 회로는 벌크 실리콘이나 절연체-위-실리콘(silicon-on-insulator) 웨이퍼와 같은 웨이퍼 상에 제작된다.
일 양태에 있어서, 광 집적 회로는 광 집적 회로 칩의 서로 다른 영역 사이에서의 광 신호 전달 뿐만 아니라 해당 칩을 온오프하기 위한 도파관을 포함할 수 있다. 시판 중인 도파관은 직사각형 또는 리지(ridge)형의 기하학적인 형상을 가지고 있으며 또한 실리콘(단결정 또는 다결정) 또는 실리콘 나이트라이드(silicon nitride)로 제조된다.
시판 중인 광 집적 회로는 광 검출기 및 기타 광학 부품을 포함할 수 있다. 광 집적 회로는 (대략 1.3 ㎛ 내지 대략 1.55 ㎛의) 통신 밴드에서의 광의 방출, 변조, 및 검출에 의존한다. 게르마늄에 있어서 밴드갭 흡수단은 1.58 ㎛ 부근이다. 게르마늄은 1.3 ㎛ 및 1.55 ㎛의 반송파 파장을 사용하는 광전자 애플리케이션에 적합한 충분한 광 반응을 제공할 것으로 기대되고 있다.
일 양태에 있어서, 광 구조의 제공을 통해서, 종래 기술의 각 단점은 극복되며, 또한 추가적인 장점이 제공된다.
광 구조는, 일 양태에 있어서, 광 에너지(light energy)를 전파하도록 구성된 도파 물질을 패터닝하여 형성된 하나 이상의 도파관을 포함할 수 있다. 이와 같은 도파 물질은 하나 이상의 (단결정, 다결정, 또는 비정질) 실리콘 및 실리콘 나이트라이드(silicon nitride)를 포함할 수 있다.
추가적인 특징 및 장점은 본 발명 중의 각 기법을 통해서 실현된다.
본 발명의 하나 이상의 양태는 발명의 설명의 결론부의 청구 범위에서의 예시로서 특정하여 명시되며 또한 명확하게 청구된다. 상술한 본 발명의 목적 및 기타 목적, 특징, 및 장점은 첨부 도면과 연동하는 이하의 "발명을 실시하기 위한 구체적인 내용" 항목으로부터 명백해진다.
도 1은, 광 구조의 제조를 위해서 미리 제작한 웨이퍼의 단면도이다.
도 2는, 일련의 제 1 하드 마스크 물질을 사용하는 복수의 예시적인 리지형(ridge-type) 도파관의 패터닝 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 3은, 일련의 제 2 하드 마스크 물질을 사용하는 복수의 예시적인 기하학적인 형상을 갖는 도파관(직사각형 및 리지형)의 형성 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 4는, 하드 마스크/소프트 마스트 물질의 제거 및 증착, 평탄화, 및 저온 산화물을 사용하는 봉지 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 5는, 복수의 높이에 형성된 복수의 기하학적 형상의 도파관을 갖는 예시적인 광 구조의 단면도이다.
도 6은, 제 1 도파층 위에 제 2 도파층을 증착한 이후의 광 구조의 단면도이다.
도 7은, 평탄화 및 표면 평활화를 거친 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 8은, 도파관 패터닝 및 측벽 조도(roughness) 처리 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 9는, 제 2 도파층 위에 제 3 도파층을 증착한 이후의 중간 제조 단계에서 있어서의 광 구조의 단면도이다.
도 10은, 도파층의 평탄화 및 평활화, 제 2 도파 물질로 형성된 층으로부터 도파관의 패터닝, 측벽 조도 처리, 및 저온 산화물을 이용한 증착, 평탄화와 봉지 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 11은, 도파 물질층(예컨대, 비정질 또는 다결정 실리콘)의 형성 및 평탄화 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 12는, 도파 물질로 형성한 층의 패터닝 및 측벽 조도 처리 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 13은, 광 검출기에서 사용되는 광 구조의 제조 방법을 설명하는 흐름도이다.
도 14는, 결정성 실리콘에 있어서 도파관 형상 위의 평탄화된 산화물 내에 검출기 트렌치를 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 15는, 트렌치를 과충전한 저결점 단결정 게르마늄 형성부를 형성하기 위한 선택적 에피택시얼 성장 및 현장 어닐링 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 16은, 게르마늄 형성부 중의 초과 성장부를 평탄화한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 17은, 도전성 상부 접점을 형성하고 또한 제 1 하드 마스크 물질로 형성된 층을 사용하여 캡 처리한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 18은, 도전성 하부 접점을 형성하고 또한 제 2 하드 마스크 물질로 형성된 층을 사용하여 캡 처리한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 19는, 패터닝된 도전성 제 1 배선 레벨을 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 20은, 패터닝된 도전성 제 2 배선 레벨을 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 21은, 배선 레벨과 공통 높이에서 도파층을 갖는 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 22는, 접합(bonding)에 의해서 생성된 어셈블리 내에 매립된 광 부품을 갖는 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 1은, 광 구조의 제조를 위해서 미리 제작한 웨이퍼의 단면도이다.
도 2는, 일련의 제 1 하드 마스크 물질을 사용하는 복수의 예시적인 리지형(ridge-type) 도파관의 패터닝 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 3은, 일련의 제 2 하드 마스크 물질을 사용하는 복수의 예시적인 기하학적인 형상을 갖는 도파관(직사각형 및 리지형)의 형성 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 4는, 하드 마스크/소프트 마스트 물질의 제거 및 증착, 평탄화, 및 저온 산화물을 사용하는 봉지 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 5는, 복수의 높이에 형성된 복수의 기하학적 형상의 도파관을 갖는 예시적인 광 구조의 단면도이다.
도 6은, 제 1 도파층 위에 제 2 도파층을 증착한 이후의 광 구조의 단면도이다.
도 7은, 평탄화 및 표면 평활화를 거친 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 8은, 도파관 패터닝 및 측벽 조도(roughness) 처리 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 9는, 제 2 도파층 위에 제 3 도파층을 증착한 이후의 중간 제조 단계에서 있어서의 광 구조의 단면도이다.
도 10은, 도파층의 평탄화 및 평활화, 제 2 도파 물질로 형성된 층으로부터 도파관의 패터닝, 측벽 조도 처리, 및 저온 산화물을 이용한 증착, 평탄화와 봉지 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 11은, 도파 물질층(예컨대, 비정질 또는 다결정 실리콘)의 형성 및 평탄화 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 12는, 도파 물질로 형성한 층의 패터닝 및 측벽 조도 처리 이후의 중간 제조 단계에 있어서의 광 구조의 단면도이다.
도 13은, 광 검출기에서 사용되는 광 구조의 제조 방법을 설명하는 흐름도이다.
도 14는, 결정성 실리콘에 있어서 도파관 형상 위의 평탄화된 산화물 내에 검출기 트렌치를 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 15는, 트렌치를 과충전한 저결점 단결정 게르마늄 형성부를 형성하기 위한 선택적 에피택시얼 성장 및 현장 어닐링 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 16은, 게르마늄 형성부 중의 초과 성장부를 평탄화한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 17은, 도전성 상부 접점을 형성하고 또한 제 1 하드 마스크 물질로 형성된 층을 사용하여 캡 처리한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 18은, 도전성 하부 접점을 형성하고 또한 제 2 하드 마스크 물질로 형성된 층을 사용하여 캡 처리한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 19는, 패터닝된 도전성 제 1 배선 레벨을 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 20은, 패터닝된 도전성 제 2 배선 레벨을 형성한 이후의 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 21은, 배선 레벨과 공통 높이에서 도파층을 갖는 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
도 22는, 접합(bonding)에 의해서 생성된 어셈블리 내에 매립된 광 부품을 갖는 중간 제조 단계에 있어서의 광 구조를 나타낸 도면이다.
본 발명의 각 양태 및 소정의 특징, 장점, 및 상세 내용은 첨부 도면에 도시한 비제한적인 실시예를 참조하여 이하에서 더욱 완전하게 설명하기로 한다. 본 발명을 상세하게 설명함에 있어서 불필요하게 불분명하게 하지 않도록 하기 위해서 공지의 물질, 제작 공구, 가공 기법 등에 대한 설명은 생략하기로 한다. 그러나, 발명의 상세한 설명 및 특정한 각 실시예는, 본 발명의 각 양태를 나타내고 있지만, 예시적인 목적으로만 제공되며, 제한하는 방식으로는 제공되지 않았음을 알아야 한다. 다양한 치환, 변형, 추가, 및/또는 배열은, 기본적인 발명의 개념의 정신 및/또는 범위 내에서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게는 본 발명의 개시로부터 명백해질 것이다.
광 구조는, 일 양태에 있어서, 광 에너지(light energy)를 전파하도록 구성된 도파 물질을 패터닝하여 형성된 하나 이상의 도파관을 포함할 수 있다. 이와 같은 도파 물질은 하나 이상의 (단결정, 다결정, 또는 비정질) 실리콘 및 실리콘 나이트라이드를 포함할 수 있다. 하나 이상의 도파관 위의 추가적인 유전 물질은 클래딩(cladding) 및 격리 물질로서 기능할 수 있다.
일 실시예에 있어서, 매립된 에피택시얼 게르마늄으로부터 제조된 하나 이상의 감광성 검출기는 광 구조 내에 포함되어 고속 및 고효율의 광 신호의 검출이 가능할 수 있다.
일 실시예에 있어서, 미리 제작한 웨이퍼를 사용하여 본 발명에서 개시하는 광 구조의 제조에 사용할 수 있다.
일 실시예에 있어서, 본 발명에서는 하드 마스크 물질로 형성된 하나 이상의 층을 사용하여 제조된 광 구조가 설명된다. 하드 마스크 물질로 형성된 하나 이상의 층은 건식 식각 장비에 의해 생성된 잔류물의 현장 및 현장외 세정을 가능하게 하며, 또한 제조 과정 중에 도파층으로부터의 물질 소모를 동시에 예방할 수 있다.
일 실시예에 있어서, 광 구조는 (예컨대, 직사각형 또는 리지형(ridge-type)과 같은) 하나 이상의 기하학적 형상으로 이루어지고 또한 단일층의 도파 물질을 사용하여 하나 이상의 서로 다른 사이즈로 패터닝되거나 또는 복수 레벨의 동일한 또는 상이한 도파 물질층 위에 분산된 도파관을 포함할 수 있다. 본 명세서에 있어서, 도파층(waveguiding layer)은 광층(photonic layer)으로 간주될 수도 있음을 알아야 한다.
일 실시예에 있어서, 제조 공정은 고종횡비 처리법(HARP, high-aspect-ratio processing)을 채택하여 형성된 하나 이상의 도파관 위에 비등각 물질(non-conformal material)을 사용하여 클래딩층을 형성하는 단계를 포함할 수 있다. 비등각성은 수평면에 대한 증착 속도는 높이는 한편으로는 (단차 에지에서의) 수직면에 대한 증착 속도는 낮추도록 조정된 상태에서 증착 공정 중에 플라즈마 강화법을 사용하여 달성될 수 있다. 따라서, 클래딩층의 핀치 오프(pinch off)로부터 유래되는 보이드(void) 및 기타 결함이 회피될 수 있으며, 또한 광학 특성에 대한 핀치 오프의 유해한 영향이 최소화될 수 있다.
일 실시예에 있어서, 광 구조는 고품질 저열용량의 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용한 하나 이상의 나이트라이드 도파관을 포함하도록 제조될 수 있다.
일 실시예에 있어서, 광 구조는 (예컨대, 다결정용으로는 실란(silane), 및 비정질용으로는 디실란(disilane)과 같은) 다양한 전구체로부터 화학 기상 증착법을 이용하여 하나 이상의 다결정 또는 비정질 도파관을 포함하도록 제조될 수 있다.
일 실시예에 있어서, 광 구조는 상이한 도파 물질로 이루어진 하나 이상의 도파층으로부터 패터닝된 도파관을 포함할 수 있다. 서로 다른 층의 도파 물질은 또한 공통 및 상이한 물질의 조합을 포함할 수 있다. 유전층은 서로 다른 도파층을 격리시킬 수 있다. 광 구조가 복수의 도파층을 포함하고 있는 경우, 이 복수의 도파층은 공통 높이 또는 서로 다른 높이에 제공될 수 있다.
일 실시예에 있어서, 광 구조는 실리콘층에 인접하여 게르마늄으로 형성된 광 검출기를 포함할 수 있다. 보다 구체적으로, 본 발명은 게르마늄 형성부와 실리콘으로 형성된 층 사이에서의 저온 버퍼층(low-temperature buffer layer)이 필요없는 방법에 대해서 기술하고 있다. 일 실시예에 있어서, 게르마늄 광 검출기 물질은 대량의 게르마늄을 반복적으로 증착하고 또한 현장 어닐링하는 공정을 사용하여 형성될 수 있다.
일 실시예에 있어서, 본 발명에서 개시된 광 검출기는 비아(via) 상부 접점 배열을 포함하고 있으며, 여기에서 (트렌치의 산화물과 접촉하고 있는) 게르마늄 형성부의 둘레부와 이온 주입 영역의 둘레부 사이에서의 이격 거리는 임계 거리 이상일 수 있다. 다른 양태에 있어서, 이온 주입 영역의 둘레부와 접점의 둘레부 사이의 이격 거리는 임계 거리 이상일 수 있다. 실질적으로 트렌치에서 이온 주입 영역까지의 거리 및 트렌치에서 접점까지의 거리를 갖도록 광 검출기를 제공하면 형성된 광 검출기 주위에 누출 경로가 형성되는 것을 회피할 수 있다.
일 실시예에 있어서, 제조된 광 검출기의 최상부 접점 배선층은 적절한 금속 접점으로 형성될 수 있다. 적절한 금속 접점으로 형성된 단말 배선층은 배선 접합부(wiring bond)를 수용하도록 구성될 수 있고 또한 개선된 온도 의존적 공정을 가능하게 한다.
일 실시예에 있어서, 이중 다마신(damascene) 공정을 채택하여 배선층을 제조할 수 있으며, 여기에서 접속 비아 및 배선 트렌치는 패터닝 단계에서 별도로 생성되지만, 도전성 금속 물질로 충전되고 또한 공통 증착 및 평탄화 공정을 사용하여 평탄화될 수 있다.
일 실시예에 있어서, 광 구조의 형성 방법은 도파관 위에 유전 물질층을 형성하는 단계, 상기 유전 물질층 내에 트렌치를 식각하는 단계, 상기 트렌치 내에서 게르마늄을 에피택시 성장시키는 단계, 상기 에피택시얼 성장에 의해서 형성된 게르마늄을 어닐링하는 단계, 형성된 게르마늄이 상기 트렌치를 충분히 과충전하기 전까지는 상기 에피택시얼 성장 및 어닐링을 반복하는 단계, 및 상기 트렌치를 과충전한 상기 게르마늄의 일부를 평탄화하는 단계를 포함할 수 있다. 광 검출기를 갖는 광 구조를 형성하기 위해서 n 및 p 영역, 접점 계면, 및 접점을 제조하기 위한 공정이 수행될 수 있다.
에피택시얼 성장 및 어닐링된 게르마늄은 도핑되거나 도핑되지 않은 부분을 포함할 수 있다. 반도체 구조가 진성 게르마늄을 포함하는 경우, 반도체 구조는 현장 도핑 또는 기타 이온 주입 방법에 의해서 p-i-n 또는 n-i-p 광 검출기 구조를 형성할 수 있다. 일 실시예에 있어서, 수직 광 검출기는 절연체-위-실리콘(SOI, silicon on insulator) 웨이퍼 상에 형성될 수 있으며, 여기에서 상기 SOI 웨이퍼의 최상 실리콘층을 식각하여 실리콘 도파관을 형성할 수 있다. 일 양태에 있어서, 형성된 광 검출기는 게르마늄 및 실리콘을 포함할 수 있으며 또한 실리콘과 게르마늄 사이에 저온 실리콘 게르마늄(SiGe) 또는 Ge 버퍼(buffer)를 갖지 않을 수 있다.
일 양태에 있어서, 광 구조 및 제조 방법이 제공될 수 있으며, 여기에서 두껍게 매립된 산화물(BOX, buried oxide) 절연체-위-실리콘(SOI, silicon-on-insulator) 웨이퍼 위에 서로 다른 기하학적 형상의 도파관이 제조될 수 있다. 일 실시예에 있어서, 서로 다른 기하학적인 형상 및/또는 크기의 도파관은 도파 물질층의 패터닝에 의해서 제조될 수 있다. 일 실시예에 있어서, 서로 다른 기하학적인 형상 및/또는 크기의 도파관은 도파 물질층의 패터닝에 의해서 제조될 수 있으며, 여기에서 도파 물질층은 SOI 웨이퍼의 상층(top layer)에 의해서 제공될 수 있다. 도파관의 제조를 위해서 하나 이상의, 예컨대, 실리콘 다이옥사이드(SiO2)와 같은 하드 마스크 물질층을 사용할 수 있다.
도 1을 참조하면, 도면에는 미리 제작한 광 구조의 제조에 적합한 절연체-위-실리콘(SOI, silicon on insulator) 웨이퍼(102)가 도시되어 있다. SOI 웨이퍼(102)는 실리콘으로 형성한 기판(100), 예컨대 산화물과 같은 유전 물질로 형성한 층(110), 및 실리콘으로 형성한 층(210)을 포함할 수 있다. SOI 웨이퍼(102)는 기판(100) 내에서의 전달 손실을 억제하기 위해 두꺼워진(후막화된) 산화물층일 수 있는 광 변형 SOI 웨이퍼일 수 있다. 층(110)은 일 실시예에 있어서 약 1 ㎛ 및 약 4 ㎛ 사이의 두께를 가질 수 있다. 최적화된 고아 SOI 웨이퍼의 층(210)은 일 실시예에 있어서 (도핑하지 않은) 진성(intrinsic) 또는 약하게 도핑된 결정성 실리콘으로 형성될 수 있다. SOI 웨이퍼(102)의 층(110) 및 층(210)은, 예컨대 웨이퍼 접합 또는 산소 주입, 이어지는 어닐링에 의해서 제조될 수 있다.
계속하여 도 1을 참조하면, 상부 실리콘층(210)은, 예컨대 에피택시얼 성장(후막화), 또는 화학적 식각, 또는 산화 및 산화물 제거(박막화)와 같은 포토닉스(photonics) 설계에 바람직한 두께로 맞춤 설정될 수 있다.
일 양태에 있어서, 광 구조(10)는 하나 이상의 서로 다른 기하학적인 형상으로 및/또는 하나 이상의 서로 다른 크기로 형성된 도파관을 포함할 수 있다. 도 1 내지 도 12를 참조하면, 이들 도면에는 특정한 일 실시예에 있어서의 광 구조(10)의 제조에 대해서 설명되어 있으며, 여기에서, 복수의 기하학적인 형상 및 복수의 최소 두께로 형성된, 예컨대 도파관(2101, 2102 및 2103)과 같은 도파관은, 예컨대 층(210)과 같은 단일 실리콘층으로부터 제조될 수 있다. 일 실시예에 있어서, 층(210)은 실리콘으로 형성된 SOI 웨이퍼(102)의 상층에 의해서 제공될 수 있다.
하나 이상의 도파관을 갖는 광 구조의 제조 방법에 대해서 도 1 내지 도 12를 참조하여 설명하기로 한다.
도 1을 참조하면, 도면에는 실리콘 기판으로 제공된 층(100), 매립 산화물(BOX)로 제공될 수 있는 층(110), 및 (도핑되지 않은) 진성 또는 약하게 도핑된 실리콘으로 제공될 수 있는 층(210)을 갖는 SOI 웨이퍼(102)가 도시되어 있다.
도 2는 도파층으로 간주될 수 있는 층(210)을 가지고 있고 또한 패터닝되어 복수의 단결정 실리콘 도파관을 제조하기 위한 예시적인 광 구조(10)를 도시하고 있다. 도면은, 실리콘으로 형성될 수 있는 도파층(210)의 복수회 패터닝 및 이의 부분 식각, 뿐만 아니라, 제 1 하드 마스크 물질로 형성된 박막일 수 있는 층(211)을 사용하여 달성되는 소프트 마스크 물질의 제거 이후의 광 구조(10)를 나타내고 있다. 층(211)은 리소그래피 형상 정의의 유지에 도움을 주고, 식각 공정 중에 도파층(210)에 남아 있는 전체 높이의 실리콘에 대한 공격을 예방하며, 또한 현장 및 현장외 세정 모두에 있어서 건식 식각의 잔류물을 충분히 제거할 수 있도록 한다. 여기에서 도시하지 않은 것은, 리소그래피 및 소프트 마스크 패터닝과 같은 중간 단계이다.
도 2와 유사하게, 도 3은 복수 유형의 단결정 실리콘 도파관으로 이루어진 예시적인 제 2 광 구조(10)를 도시하고 있다. 도면은, 실리콘으로 형성될 수 있는 도파층(210)의 패터닝 및 이의 완전한 식각, 뿐만 아니라, 제 2 하드 마스크 물질로 형성된 박막일 수 있는 층(211)을 사용하여 달성되는 (예컨대, 유기물 스택과 같은) 소프트 마스크 물질의 제거 이후의 광 구조(10)를 나타내고 있다. 하드 마스크 물질일 수 있는 층(214) 또한 리소그래피 형상 정의의 유지에 도움을 주고, 식각 공정 중에 도파층의 상부면에 대한 공격을 예방하며, 또한 현장 및 현장외 세정 모두에 있어서 건식 식각의 잔류물을 충분하게 제거할 수 있도록 한다. 또한, 도시하지는 않았지만, 리소그래피 공정 및 소프트 마스크 패터닝과 같은 중간 단계가 존재한다.
하드 마스크 물질로 형성될 수 있는 하나 이상의 층(211) 또는 층(214)을 사용하면 제조된 도파관에 있어서의 결함을 감소시킬 수 있다. 반응성 이온 식각법(RIE, reactive ion etching)은 소프트 마스크, 하드 마스크, 및 최종 형상의 측벽 상에 폴리머 잔류물 형성부를 남길 수 있음을 알게 되었다. 또한 층(211 및 214) 없이 폴리머 잔류물 형성부를 세정하면 추가 공정에서의 마이크로 마스킹에 의해서 이미 형성된 도파관에 형성되는 도파층(210)에 비정상적인 표면 결함을 초래할 수 있음을 알게 되었다. 또한, 실리콘으로 형성된 도파층(210) 및 산화물로 형성된 층(120) 사이에 매립된 폴리머 잔류물(도 4 참조)은 (약 1.3 ㎛ 내지 약 1.55 ㎛의) 통신 밴드에 있어서 상당한 전달 손실을 초래할 수 있다. 하나 이상의 층(211) 또는 층(214)을 사용하게 되면 폴리머 잔류물 형성부를 제거하기 위해서 세정 공정을 수행할 때 (예컨대, 실리콘의 소모를 통해서) 도파층(210)의 손상을 방지할 수 있다. 다른 양태에 있어서, 하드 마스크 물질로 형성된 층(211 및 214)은, 예컨대 접점, 보조 접점, 포토닉스 또는 CMOS 접합부의 형성을 위한 이온 주입에 대해서 스크린층(screening layer)으로서 기능할 수 있다. 도파층(210)의 패터닝과 관련하여, 도파층(210)의 패터닝은 하나 이상의 층(211) 또는 층(214) 위에 형성되는 유기 리소그래피 물질 스택(마스크)을 사용하여 수행될 수 있다. 본 발명에서는 도파 물질층 위에 하드 마스크 물질 스택을 형성하는 단계; 하드 마스크 물질 스택 위에 유기 리소그래피 물질로 형성되는 소프트 마스크 물질 스택을 증착하는 단계; 및 유기 리소그래피 물질 스택을 패터닝하는 단계;를 포함하며, 상기 패터닝 단계는 하드 마스크 물질 스택에서 중지되는 단계를 포함하는 방법이 설명된다. 본 발명에서 설명하는 바와 같이, 하나 이상의 층(211) 또는 층(214)은, 예컨대 실리콘 다이옥사이드(SiO2)와 같은 하드 마스크 물질로 형성될 수 있다.
도 4를 참조하면, 제조된 도파관을 둘러싸는 유전 물질층의 형성을 위해서 플라즈마 강화 화학 기상 증착(PECVD) TEOS와 같은 고종횡비 처리법(HARP) 또는 기타 저손실 유전 물질 처리법을 수행할 수 있다. 층(1201)은 도파층(210)에 의해서 형성되는 도파관(2101, 2102, 및 2103) 주위에 형성될 수 있다. 일 실시예에 있어서, 층(1201)은 비등각 산화물로 형성될 수 있다. 층(1201)에 비등각 산화물을 사용하게 되면 도파관(2101, 2102, 및 2103)을 둘러싸고 있는 산화물 내의 보이드 및 기타 결함의 발생 빈도가 감소될 수 있다. 비등각 산화물은 수평면 상에서는 더욱 고속으로 증착되도록 구성되는 한편으로 측벽에서의 증착 속도는 억제되는 성질을 나타낸다. 비등각 산화물을 제공하기 위한 방법의 일 실시예에 있어서, 산화물의 증착부는 플라즈마 강화될 수 있다. 층(1201)에 등각성 물질을 사용하는 것에 의해서, 층(1201)이 고종횡비 형상 위에 증착될 때 핀치 오프가 발생할 수 있고 따라서 도파관(2101, 2102, 및 2103)을 둘러싸는 산화물과 함께 보이드(void)의 침입을 초래할 수 있음을 예상할 수 있다.
계속하여 도 4를 참조하면, 도면에는 추가 공정을 위해서 층(120)의 유전 물질의 수정된 전체 두께를 제공할 수 있도록 유전층(1201)의 평탄화 및 유전층(1202)을 사용한 캡 처리(capping) 이후의 광 구조(10)가 도시되어 있다. 층(1201)의 평탄화에 있어서, 층(1201)의 상부 높이가 감소될 수 있다. 층(1202)의 평탄화에 있어서, 층(1202)의 상부 높이가 감소될 수 있다.
도 1 내지 도 12를 참조하여 설명한 제조 단계를 사용하면, 서로 다른 복수의 기하학적인 형상 및 복수의 최소 높이를 갖는 도파관은 SOI 웨이퍼(102)의 층(210)에 의해서 형성될 수 있다. 도파관(2101)은 제 1 최소 두께를 갖는 리지(ridge)형 도파관일 수 있다. 도파관(2102)은 제 1 최소 두께를 초과하는 제 2 최소 두께를 가진 리지형 도파관일 수 있다. 도파관(2103)은 제 2 최소 두께를 초과하는 제 3 최소 두께를 가진 직사각형 도파관일 수 있다.
도 5를 참조하면, 광 구조(10)는 일 실시예에 있어서 공통 또는 상이한 물질로 형성된 복수개의 층 내에 형성된 도파관을 포함할 수 있다. 본 발명에서 설명하는 바와 같이, 예시적인 광 구조(10)는 각각의 레벨에 하나 내지 두 개 이상이 도파 물질을 갖는 하나 내지 네 개 이상의 레벨을 포함할 수 있다. 광 구조(10) 내에서 서로 다른 물질로 제조된 도파관은 서로 다른 기능을 수행하기 위해서 사용될 수 있다. 예를 들면, 실리콘은 전류를 전도하도록 용이하게 구성되며 또한 따라서 실리콘으로 형성한 도파관을 사용하면 광 검출기 및 기타 광 부품과 같은 능동 소자를 포함할 수 있다. (실리콘 나이트라이드와 같은) 유전 물질 도파관은 통신용 파장 범위 내에서 흡수 수치가 낮기 때문에 더 먼 거리에 걸쳐서 광파(light wave)를 전송하도록 구성될 수 있다. 다른 물질, 예를 들면 비정질 실리콘 또는 다결정 실리콘과 같은 물질로 제조된 도파관은 전기적 및 광학적 특성의 균형이 잡힐 수 있고 또한 전류 전달 및 원거리 광 전송 양상의 균형이 잡힌 기능에 특히 유용할 수 있다.
서로 다른 도파층에 의해서 형성되는 도파관을 갖는 광 구조(10)의 제조에 도움을 주기 위해서, 광 구조(10)는 서로 다른 물질로 형성되는 층 사이에서의 필름의 형태로 하나 이상의 유전 격리층의 형태로서의 층을 포함할 수 있다.
도 5를 참조하면, 클래딩층으로 간주될 수 있는 유전층(1201)은 연마 공정을 적용하여 평탄화될 수 있는 갭 충전 클래딩 산화물일 수 있으며, 한편 캡층(1202)은 제 2 거리 수정 저온 산화물 필름일 수 있다. 유전층(1201) 위에 캡층(1202) 형태로서의 유전층을 제공하여 하나 이상의 추가 도파층에 대한 수정된 유전 격리 거리를 제공할 수 있다.
일 실시예에 있어서, 캡층(1202)은 하나 이상의 베이스 도파관을 형성하는 도파 물질로 형성된 도파층(210) 위에 형성되는 도파 물질로 형성되는 도파층 내에 형성되는 하나 이상의 추가 도파관의 제조 및 동작을 개선하도록 설계될 수 있다. 캡층(1202)이 층(1202) 위의 하나 이상의 디바이스층을 지지하는 경우, 층(1202)은 호환 가능한 광학 특성을 갖는 유전 격리층으로 간주될 수 있다. 층(1202)은, 예컨대 내부에 도파관이 형성될 수 있는 도파층(210) 및 도파층(310)과 같은 도파층 사이에서의 물리적이고 또한 광학적인 격리를 제공할 수 있다. 층(1202)은 절연을 위해서 또는 의도적인 광 결합을 위해서 조정될 수 있는 각 도파층 사이의 격리를 제공할 수 있다. 층(1202)은 각 도파층 사이에서의 수정된 유전 격리 거리를 제공할 수 있다. 층(1202)을 이루는 물질은 낮은 전파 손실을 제공하도록 하고 또한, 특히 온도와 관련된 공정 호환성을 최적화화도록 선택될 수 있다.
계속하여 도 5를 참조하면, 도면에는 광 구조(10)가 복수의 도파관을 포함하는 예시적으로 제조한 광 구조(10)가 도시되어 있다. 층(210)은 유전 물질로 형성될 수 있으며 또한 상술한 특정 실시예에 있어서 도파층(210) 및 (예컨대, 도파관(4101)과 같은) 도파층(410)에 의해서 형성되는 (예컨대, 도파관(2101) 및 도파관(2102)과 같은) 도파관을 둘러싸는 유전 물질로 형성된 층(1201) 및 도파층(210) 및 도파층(410)에 의해서 형성되는 도파관을 둘러싸는 클래딩층(1201) 상에 형성되는 유전체 캡층으로서 기능하는 층(1202)을 포함할 수 있다. 도 5의 실시예에 있어서 층(120)은 (예컨대, 도파관(4201)과 같은) 층(420)에 의해서 형성되는 도파관을 둘러싸는 유전 물질로 형성된 층(1201) 및 도파층(420)에 의해서 형성되는 도파관을 둘러싸는 층(1201) 상에 형성되는 유전체 캡층(capping layer)으로 기능하는 층(1202)을 더 포함할 수 있다. 도 5의 실시예에 있어서 층(120)은 도파층(310)에 의해서 형성되는 (예컨대, 도파관(3101)과 같은) 도파관을 둘러싸는 유전 물질로 형성된 층(1201) 및 도파층(310)에 의해서 형성되는 도파관을 둘러싸는 층(1201) 상에 형성되는 유전체 캡층으로 기능하는 층(1202)을 더 포함할 수 있다. 도 5의 실시예에 있어서 층(120)은 (예컨대, 도파관(3201)과 같은) 층(320)에 의해서 형성되는 도파관을 둘러싸는 유전 물질로 형성된 층(1201) 및 도파층(320)에 의해서 형성되는 도파관을 둘러싸는 층(1201) 상에 형성되는 유전체 캡층으로 기능하는 층(1202)을 더 포함할 수 있다. 도 5의 실시예에 있어서 층(120)은 (예컨대, 도파관(3301)과 같은) 층(330)에 의해서 형성되는 도파관을 둘러싸는 유전 물질로 형성된 층(1201) 및 도파층(330)에 의해서 형성되는 도파관을 둘러싸는 층(1201) 상에 형성되는 유전체 캡층으로 기능하는 층(1202)을 더 포함할 수 있다. 층(1201)은 클래딩층(cladding layer)으로 간주될 수 있고 또한 층(1202)은 캡층(capping layer)으로 간주될 수 있다. 층(1201) 및 층(1202)은, 예컨대 산화물과 같은 유전 물질로 형성될 수 있다.
도 5를 참조하면, 도파관(2101 및 2102), 도파관(3101, 3201, 및 3301), 및 도파관(4101 및 4201)은 층(120) 내에 봉지될 수 있다. 도 5를 참조하면, 각각, 도파관(2101) 및 도파관(2103)의 하부는 높이(1102)에 형성될 수 있고, 도파관(4201)의 하부는 높이(1104)에 형성될 수 있고, 도파관(3101) 및 도파관(3201)의 하부는 높이(1106 및 1108)에 형성될 수 있다. 도파관(3301)의 하부는 높이(1110)에 형성될 수 있다. 도 5에 나타낸 바와 같이 광 구조(10)의 각 도파관은 서로 다른 물질로 형성될 수 있다. 도파관(2101) 및 도파관(2102)은 단결정 실리콘으로 형성될 수 있고, 또한 도파관(3101, 3201, 및 3301)은 실리콘 나이트라이드로 형성될 수 있다. 도파관(4101 및 4201)은 비정질 또는 다결정 실리콘으로 형성될 수 있다. 광 구조(10)의 각 도파관은 서로 다른 기하학적인 형상을 가질 수 있다. 도 5에 나타낸 바와 같이, 도파관(2101)은 리지 형상의 기하학적 형상을 가질 수 있다. 도파관(2102, 4101, 4201, 3201, 및 3301)은 직사각형의 기하학적 형상을 가질 수 있다.
도 5를 참조하면, 도면에는 광층(210)(photonic layer), 광층(420), 광층(310), 및 광층(320)을 갖는 광 구조(10)가 도시되어 있다. 일 실시예에 있어서, 층(210, 420, 310, 및 320)은 제 1, 제 2, 제 3, 및 제 4 광층으로 간주될 수 있다. 일 실시예에 있어서, 각각의 층(210, 420, 310, 및 320)은 서로 다른 높이에 형성될 수 있다. 광 구조(10)는 상술한 갯수 미만 또는 초과하는 갯수의 광층을 포함할 수 있다. 일 실시예에 있어서, 도 5에 나타낸 바와 같이, 광 구조(10)는 각각의 층(210, 420, 310, 및 320)의 높이와는 다른 높이의 광층(330)을 포함할 수 있다. 광층(330)은 제 5 광층으로 간주될 수 있다.
도 6 내지 도 10을 참조하면, 도면에는 광 구조(10)의 특성을 갖는 서로 다른 도파관의 광 구조(10)를 제조하는 예시적인 방법이 도시되어 있다. 도 6을 참조하면, 광 구조(10)는 도파관(2101), 도파관(2103), 및 도파관(2101)과 도파관(2103) 위에 형성된 층(120)을 포함하고 있으며, 여기에서 층(120)은, 예컨대 산화물과 같은 유전 물질로 형성될 수 있다. 층(120)은, 본 발명에서 설명하는 바와 같이, 일 실시예에 있어서, 클래딩층으로 간주될 수 있는 층(1201) 및 캡층으로 간주될 수 있는 층(1202)의 조합을 포함할 수 있다. 도파관(2101) 및 도파관(2103)은 공통 도파층(210) 내부에 패터닝되고 또한 공통 도파층에 의해서 형성된다.
계속하여 도 6을 참조하면, 도면에는 층(120) 위에 도파층(310)이 형성될 수 있다. 도파층(310)은 도파관의 패터닝에서 사용하기 위한 나이트라이드 도파층일 수 있다. 도 7을 참조하면, 도 7은 도파층(310)의 평탄화 이후의 광 구조(10)를 도시하고 있다. 도파층(310)은, 예컨대 실리콘 나이트라이드(SiN)로 형성된 나이트라이드 도파관의 제조에서 사용될 수 있다.
일 실시예에 있어서, 플라즈마 강화 화학 기상 증착법(PECVD)을 채용하여 실리콘 나이트라이드 형성층(310)을 증착할 수 있다. PECVD는 열 처리량이 감소된, 예컨대 즉 약 300 도씨 내지 약 500 도씨의 온도 범위 내의 온도에서 수행될 수 있다. 특정한 광 디바이스의 제조 순서는 그와 같은 종류의 열 처리를 견딜 수 없음을 알게 되었다. 따라서, PECVD법으로 형성한 실리콘 나이트라이드가 바람직할 수 있다.
실리콘 나이트라이드로 형성된 도파층(310)의 증착을 위한 PECVD 처리 공정의 사용은 형성된 나이트라이드(nitride, 질화물)의 광 흡수를 감소시키기 위해서 추가적인 공정과 조합될 수 있다. 예를 들면, 산화물로 형성된 캡층을 제공할 수 있는 층(1202) 및 나이트라이드로 형성될 수 있는 도파층(310)은, 예컨대 화학적 기계적 연마 공정과 같은 제어된 제조 공정을 거쳐서 산화물 및 나이트라이드층의 표면을 평활화할 수 있다. 뿐만 아니라, 생성된 물질의 속성을 조정하기 위해서 증착 조건을 조절할 수 있다. 예시적인 증착 조건의 조절은 기판의 온도 변경, 플라즈마 파워, 순방향 바이어스(forward bias), 챔버 압력 조건, 및 전구체의 유량비를 포함할 수 있다. 상술한 조건의 변화는 챔버의 구조 및 전구체의 정확한 속성에 의해서 현저하게 변동되며, 따라서 본 명세서에서는 생략한다. 하지만, 화학 양론적 실리콘 나이트라이드, 즉 3:4의 실리콘/나이트라이드 비율을 갖는 나이트라이드는 복수의 처리 조건 하에 얻어질 수 있으며, 또한 광학 특성을 원하는 값(굴절률 2.0 및 낮은 전달 손실 < 0.5 db/cm)으로 맞춤 설정할 수 있는 자유도가 높다. 계속하여, 도파층(310) 상에 어닐링 공정을 수행하여 오염물 및 기상 개재물을 제거할 수 있으며, 따라서 구조적 및 광학적인 속성이 추가적으로 개선될 수 있다.
또한, 형성된 나이트라이드 도파관에 라인 에지 조도 처리(line edge roughness treatment)가 수행될 수 있다. 중간 내지 고온에서의 스팀 또는 고압 산화는 실리콘 나이트라이드의 최외측의 일부가 실리콘 다이옥사이드로 변환시킬 수 있다. 수용성 불산액 내의 상기 실리콘 다이옥사이드를 제거한 이후에, 실리콘 나이트라이드의 평균 표면 조도가 개선되었다.
실리콘 나이트라이드 도파관의 굴절률(2.0 근처)이 주위 유전 물질의 굴절률(1.45)에 근접할 수 있으며, 또한 따라서 주위의 유전 물질 내로 상대적으로 대부분의 광파(light wave)를 동시에 전파할 수 있음을 알게 되었다. 형성된 도파관을 둘러싸는 유전 물질의 결점(보이드,void) 및 광학적 흡수는 나이트라이드 도파관의 경우에 특히 중요할 수 있다. 전체적으로 낮은 수준의 광학적 전파 손실은, 예컨대 본 발명에서 설명하는 바와 같이 갭 충전 저온 산화물과 같은 적절한 유전체 클래딩 물질을 사용하는 것에 의해서만 유지될 수 있다.
도 7은 실리콘 나이트라이드로 형성된 도파층(310)의 상부면을 평활화하기 위해서 화학적 기계적 연마를 수행한 이후의 광 구조(10)를 나타내고 있다.
도 8을 참조하면, 도파층(310)을 패터닝하여 도파관(3101)을 형성한 이후의 광 구조(10)가 도시되어 있으며, 패터닝에 이어서 라인-에지 조도 완화 처리될 수 있다. 수행된 공정은 습식 산화에 이어서 나이트라이드 도파관(3101)의 표면 상의 최종 산화물을 제거하기 위해서 HF 기반의 용액에 단시간 침지하는 공정일 수 있다.
유사한 방식으로, 도 9는 도파층(310)의 패터닝된 부분 위에 층(120)을 형성한 이후의 도 8에 나타낸 광 구조(10)를 도시하고 있다. 층(120)은 산화물로 형성되는 클래딩 증착층일 수 있는 층(1201) 및 산화물로 형성되는 캡 증착증일 수 있는 층(1202)을 포함할 수 있다. 층(1201)은 도파관(3101) 및 도파관(3102)의 상부 높이 위의 높이까지 연장될 수 있다. 계속하여 도 9를 참조하면, 층(120) 상에 도파층(410)이 형성될 수 있다. 도파층(410)은, 일 실시예에 있어서, 비정질 실리콘 또는 다결정 실리콘으로 형성되고 이후에 도파관 형상을 형성하기 위해서 평활화 폴리싱, 패터닝되고 라인-에지 조도 완화 처리(미도시)되는 하나 이상의 도파관의 제조에 사용되는 비정질 실리콘 또는 다결정 실리콘일 수 있다.
실리콘 도파관, 예컨대 도파관(2101) 또는 도파관(2103)과 같은 실리콘 도파관의 라인 에지 조도 완화 처리 또한 감압 화학 기상 증착법(RPCVD, reduced pressure chemical vapor deposition) 또는 고속 열화학 기상 증착법(RTCVD, rapid thermal chemical vapor deposition)을 사용하는 H2 어닐링 또는 실리콘 도파관 상에 에피택시얼 실리콘의 증착과 같은 기법을 포함할 수 있다. H2 어닐링은 섭씨 약 700 도 및 섭씨 약 950 도 사이 온도에서 및 약 1 Torr 내지 약 1 대기압의 압력에서 수행될 수 있다. 일 예시에 있어서, 어닐링 조건은 섭씨 약 900 도의 온도 및 약 100 Torr의 압력을 포함할 수 있다.
도 10을 참조하면, 도파층(410)을 패터닝하여 도파관(4102)을 형성한 이후 및 도파층(410) 위에 층(120) 부분을 형성한 이후의 개략적인 광 구조(10)가 도시되어 있다. 도 10에 나타낸 바와 같이, 층(120)은 도파층(410)에 의해서 형성되는 도파관(4102)을 둘러싸는 클래딩층일 수 있는 층(1201) 및 도파층(410)에 의해서 형성되는 도파관(4102)을 둘러싸는 층(1201) 상에 형성되는 층(1202)을 포함할 수 있다. 층(1201) 및 층(1202)은, 예컨대 산화물과 같은 유전 물질로 형성될 수 있다.
도 11 및 도 12는 도 8에 나타낸 바와 같이 공통 높이에 형성된 서로 다른 물질의 (예컨대, 도파관(3101 및 4001)과 같은) 도파관을 갖는 광 구조(10)의 예시적인 구현례를 제조하기 위한 방법을 도시하고 있다.
도 11을 참조하면, 박막 유전 물질 재료일 수 있는 층(130) 및 비정질 실리콘 또는 다결정 실리콘일 수 있는 층(400)은 유전층(120) 및 도파관(3101) 위에 등각적으로 증착될 수 있다(미도시). 계속하여 도 11을 참조하면, 도면에는 도파층(400)의 두께를 수정하고, 도파층(400)의 상부면을 평활화하고, 또한 도파층(310) 내에 형성된 도파관(3101) 위의 도파층(400)의 잉여 물질을 거의 완전하게 제거하는 평탄화 처리 이후의 예시적인 광 구조(10)가 도시되어 있다.
도 12를 참조하면, 도면에는 도 11에 도시한 바와 같이 도파층(400)을 패터닝하여 도파관(4001)을 형성한 이후의 예시적인 광 구조(10)가 도시되어 있다. 도파층(400)은 비정질 실리콘 또는 다결정 실리콘으로 형성될 수 있다. 계속하여 도 12를 참조하면, 서로 다른 물질로 형성된 도파관(3101) 및 도파관(4001)은 유한한 두께를 갖는 층(130)과는 무관하게 공통 높이에 형성될 수 있다. 본 발명에 있어서, 구조물, 예컨대 제 1 및 제 2 도파관과 같은 구조물은 기판(100)에 대해서 평행하게 연장되는 가상의 수평면이 상기 구조물, 예컨대 제 1 및 제 2 도파관과 같은 구조물을 관통하여 연장될 수 있다면 공통 높이를 가지는 것으로 간주될 수 있다. 일 실시예에 있어서, 층(130)은 층(400)의 형성 이전에 생략될 수 있으며, 따라서 도파관(3101)의 하부 및 도파관(4001)의 하부는 공통 높이에 형성된다. 층(130)의 생략은 곤란하지만 가능한데 이는 층(310)과 층(400) 사이에서의 물질의 상이성에 기인한다.
일 실시예에 있어서, 광 구조(10)는 통신 파장 범위 내의 광을 검출하도록 구성될 수 있다. 광 검출기를 갖는 광 구조를 제조하기 위한 방법을 설명하는 흐름도를 도 13에 나타내었다. 일 실시예의 방법에 따르면, 블록(402)에서 실리콘 도파관 위에 유전 물질층이 형성하는 단계가 또한 블록(406)에서 실리콘 도파관까지 연장되는 층 내에 트렌치가 식각되는 단계가 수행된다. 블록(412)에서 트렌치 내에서 게르마늄을 에피택시얼하게 성장시키는 단계가 또한 블록(416)에서 에피택시얼 성장에 의해서 형성된 게르마늄을 어닐링하는 단계가 수행될 수 있다. 게르마늄이 트렌치를 충분히 과충전할 때까지 에피택시얼 성장 및 어닐링이 반복되어 수행될 수 있다(블록(420)).
도 13의 방법을 수행한 결과, 게르마늄 형성부가 실리콘 표면에 접속되는 저온 버퍼층을 갖지 않을 수 있는 게르마늄 기반의 광 검출기가 형성될 수 있다. 광 검출기를 형성하고 있는 결과의 광 구조(10)는 누설 전류가 낮음을 또한 신호대 잡음비가 증가함을 보여주었다.
도 13의 방법에 대한 추가적인 양상에 대해서 다양한 중간 제조 단계에서의 광 구조를 나타내고 있는 도 14 내지 도 17을 참조하여 설명하기로 한다. 이들 도면에서는 수직 광 검출기가 절연체-위-실리콘(SOI) 웨이퍼(102) 상에 집적되어 있는 실리콘 광 구조 및 공정에 대해서 설명하였다. 일 실시예에 있어서, 수직 광 검출기는, 예컨대 산화물과 같은 유전 물질층 내에서 트렌치를 패터닝하고, 결정성 게르마늄으로 충전하고, 과충전된 게르마늄을 평탄화하고, 또한 상부 및 하부 접점을 형성하는 것에 의해서 SOI의 상부 실리콘 도파관 레벨 상에 집적될 수 있다.
도 14는 (실리콘 도파관 위에 유전 물질을 형성하는 단계의) 블록(402) 및 (트렌치를 패터닝하는 단계의) 블록(406)의 수행을 도시하고 있는 중간 제조 단계에 있어서의 광 구조(10)를 도시하고 있다. 광 구조(10)는 실리콘으로 형성된 기판(100), 매립 산화물로 형성된 층(110), 도 14에서 검출기 고원부가 도시된 도파관(2105), 및 실리콘으로 형성될 수 있는 도파층(210) 내에 패터닝될 수 있고 또한 이에 의해서 형성될 수 있는 도파관(2105) 및 도파관(2103) 위에 형성되는, 예컨대 산화물과 같은 유전 물질로 형성되는 클래딩층일 수 있는 층(1201)을 포함할 수 있다. 도파관(2105) 및 도파관(2103) 위에 형성되는 층(120)은 클래딩층일 수 있는 층(1201) 및 캡층일 수 있는 층(1202)을 포함할 수 있다. 층(1201) 및 층(1202)은 약 500 nm를 초과하는 통합 두께를 가질 수 있으며, 일 실시예에 있어서, 약 500 nm 및 약 1500 nm 사이의 통합 두께를 가질 수 있다. 일 실시예에 있어서, 클래딩층(1201)은 캡층(1202)과 조합하여 약 1000 nm의 통합 두께를 가지고 있으며, 따라서 형성된 광 검출기 구조의 높이는 약 800 nm 내지 약 1000 nm의 높이를 가진다.
(트렌치의 형성에 대한) 블록(406)의 추가적인 상세는 도 14를 참조하여 설명하기로 한다. 도 14에 나타낸 바와 같이, 하부의 실리콘 도파관(2105)까지 연장될 수 있는 검출기 트렌치(610)의 형성 이후의 광 구조(10)가 도시되어 있다. 패터닝은, 예컨대 하나 이상이 리소그래피, 건식 식각, 또는 습식 화학 공정을 사용하여 수행될 수 있다. 일 실시예에 있어서, 형성된 트렌치(610)는 약 500 nm를 초과하는 깊이를 가질 수 있으며, 또한 일 실시예에 있어서, 약 500 nm 및 약 1500 nm의 범위 내의 깊이를 가질 수 있다. 일 실시예에 있어서, 트렌치(610)는 약 800 nm 내지 약 1000 nm의 깊이를 가질 수 있다.
게르마늄 형성부(640)가 트렌치(610)를 과충전하는 중간 제조 단계에 있어서의 광 구조(10)를 도시하고 있는 도 15를 참조하여 (에피택시얼 성장 단계의) 블록(412), (어닐링 단계의) 블록(416), 및 에피택시얼 성장 및 어닐링을 반복하는 단계의) 루프(420)에 대해서 추가적으로 상세히 설명하기로 한다.
(게르마늄의 에피택시얼 성장 단계의) 블록(412)을 수행하기 전에, 도 14에 나타낸 바와 같은 광 구조(10)는 습식 화학 공정 또는 건식 자연 산화물 제거 공정으로 이루어지는 현장외 및/또는 현장 표면 세정 공정을 거치고 이후에 환원성 수소 분위기에서의 단시간 현장 고온 베이킹(baking) 처리될 수 있다. 후자는 세정 툴 및 에피택시 리액터 사이에서의 공기 노출에 의해서 재형성된 아화학양론적인(sub-stoichiometric) 표면 산화물을 제거할 수 있다.
도 15는 트렌치(610) 내에 게르마늄을 형성한 이후의 도 14의 광 구조를 나타내고 있다. 게르마늄의 에피택시얼 성장 및 어닐링에 의해서, 층(120) 내에 패터닝된 트렌치(610)는 도핑되거나 진성 결정성 게르마늄으로 충전될 수 있다.
(에피택시얼 성장 단계의) 블록(412) 및 (어닐링 단계의) 블록(416)을 참조하면, 게르마늄 부분은 트렌치(610) 내에서 선택적으로 성장 및 어닐링될 수 있다. 일 실시예에 있어서, 게르마늄은 감압 화학 기상 증착법(RPCVD)을 사용하여 블록(412)에서 선택적으로 성장될 수 있다. (게르마늄의 에피택시얼 성장 단계의) 블록(412)을 참조하면, 다중 단계의 고속 증착 공정은, 각각, 전구체 및 캐리어 가스로서 저메인(germane) 및 H2를 사용하여 섭씨 약 550 내지 약 850 도 사이 온도에서 및 약 10 Torr 내지 약 300 Torr 사이의 압력에서 수행될 수 있다. 온도는 안정된 온도 또는 가변 온도일 수 있다. 압력은 안정된 압력 또는 가변 압력일 수 있다. 블록(412)에서의 에피택시얼 성장 단계는 (예컨대, p형용의 디보란, n 형용의 아르신(arsine) 또는 포스파인(phosphine)과 같은) 도핑 가스를 사용하지 않고도 수행될 수 있다. 블록(412)에서, 특정한 일 실시예에 있어서, 섭씨 약 550 도 내지 섭씨 약 700 도 사이의 온도 범위 내의 온도에서 및 약 10 Torr 내지 약 25 Torr의 온도 범위 내의 압력에서 저메인 및 수소를 사용하여 약 200 nm의 진성(또는 도핑된) Ge이 선택적으로 (높이(621)까지) 성장될 수 있다.
(어닐링 단계에 관한) 블록(416)을 참조하면, 일 실시예에 있어서, 증착 챔버가 퍼지(purge) 처리되며 또한 블록(412)에서 에피택시얼 성장에 의해서 증착된 게르마늄은 섭씨 약 650 도 내지 섭씨 약 850 도 사이 온도에서 및 약 100 Torr 및 약 600 Torr 사이의 압력(일 실시예에 있어서, 300 Torr)에서 어닐링될 수 있다. 온도는 안정된 온도 또는 가변 온도일 수 있다. 압력은 안정된 압력 또는 가변 압력일 수 있다.
에피택시얼 성장 및 어닐링에 의해서 형성되는 게르마늄 필름은 진성 게르마늄 또는 도핑된 게르마늄을 포함할 수 있다. 형성된 게르마늄의 도핑을 위해서, RPCVD 에피택시얼 성장 중에 사용되는, 예컨대 H2와 같은 소스 가스에 (디보란(diborane), 포스파인(phosphine), 아르신과 같은) 도펀트(dopant) 가스가 추가될 수 있다.
도 13을 참조하면, (에피택시얼 성장 단계 및 어닐링 단계의) 블록(410) 및 블록(416)은 증착된 게르마늄이 트렌치(610)를 충분히 과충전하기 전까지 반복(블록(420))될 수 있다. 일 실시예에 있어서, 과충전이 적절한 코너 커버리지(corner coverage)를 허용하는 경우에 과충전은 충분한 것으로 간주될 수 있다. 일 실시예에 있어서, 여섯 개의 에피택시얼 성장 및 어닐링 사이클(각각 약 200 nm)을 사용하여 트렌치(610)를 과충전할 수 있다. 예를 들면, 제 1 (초기) 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 도 15에 나타낸 바와 같이 높이(621)까지 연장될 수 있다. 제 2 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 높이(622)까지 연장될 수 있다. 제 3 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 높이(623)까지 연장될 수 있다. 제 4 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 높이(624)까지 연장될 수 있다. 제 5 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 높이(625)까지 연장될 수 있다. 제 6 에피택시얼 성장 및 어닐링 사이클 이후에, 증착된 게르마늄은 높이(626)까지 연장될 수 있으며, 또한, 도 15에 도시한 바와 같이, 트렌치(610)를 과충전할 수 있다. 원자 크기 때문에 발생하는 Si 격자로의 Ge의 격자 어긋남에 의해서 초기 성장 계면을 훨씬 지나서 연장될 수 있는 막대한 양의 변형 관련 격자 결함을 초래한다. 각각의 성장 및 어닐링 사이클 내에서의 어닐링은 게르마늄 형성부(640) 중에 형성된 게르마늄 내측의 전위 및 기타 확장된 결함을 모두 없애는데 기여할 수 있다.
상술한 바와 같이, 에피택시얼 성장 단계(블록(412)) 및 어닐링 단계(블록(416))는, 예컨대 증착된 게르마늄이 트렌치(610)를 충분히 과충전할 때 발생할 수 있는 소정의 충전 높이가 달성되기 전까지는 한 사이클 내에서 반복될 수 있다. 에피택시얼 게르마늄은 수직인 <100> 방위에 대해서 <110> 및 <111> 결정 방위로 상당히 감소된 속도로 성장할 수 있음을 알게 되었다. 트렌치(610)의 에지 및 코너 부근에서의 에피택시얼 성장에 있어서 이와 같은 지연은 트렌치(610)를 과충전(overfilling)하는 것에 의해서 극복될 수 있다. 일 실시예에 있어서, 약 1.0 ㎛의 과충전에 의해서 트렌치 에지 및 코너 지점에서의 고품질의 충전을 보장할 수 있다. 도 15에 도시한 실시예에 있어서 6 회의 사이클 이후에, <100> 방위의 Ge 성장단의 상부가 트렌치(610)의 상부에 도달하였다. 최종 처리를 위해서, 0.5 ㎛의 과충전 증착/어닐링 사이클 이후에 0.5 ㎛의 최종 성장 공정을 채택하여 Ge 충전을 마무리할 수 있다. 성장/어닐링 순서와 어닐링 대신 성장으로 마무리하면 유리할 수 있는데, 이는 관찰된 Ge 형상의 재분산, 특히 코너 지점 부근에서의 Ge 형상의 재분산 때문이다.
도 15에 도시한 중간 제조 단계를 참조하여 설명한 다른 방법에 있어서, 게르마늄(Ge)의 형성 이전에 실리콘 도파관(2105)의 상부면 상에 실리콘 게르마늄(SiGe) 또는 Ge 버퍼층을 형성할 수 있다. 섭씨 약 300 도 내지 섭씨 약 450 도 범위 내의 온도에서 감압 화학 기상 증착법(RPCVD)을 사용하여 SiGe 또는 Ge 버퍼를 증착할 수 있다. 이와 같은 공정은 다양한 실시예에 있어서 유용할 수 있다. 일 실시예에 있어서, 형성된 SiGe 또는 Ge 버퍼는 (n 형 또는 p 형으로) 현장 도핑될 수 있다. SiGe 또는 Ge 버퍼를 형성하기 위해서는 Si 소스 가스로서 실란(SiH4)을 사용할 수 있고 또한 Ge 소스 가스로서 저메인(GeH4)을 사용할 수 있다. 도핑된 버퍼층을 형성하기 위해서는, 도핑 가스로서 디보란(B2H6)(diborane), 포스파인(PH3), 또는 아르신(AsH3)을 사용할 수 있다. 그러나, 상술한 저온 범위는 과도하게 성장률이 낮고 또한 터무니없이 긴 공정 지속 시간을 필요로 할 수 있음을 알게 되었다. 또한, (반응) 온도가 낮아짐에 따라서 반응기(reactor) 및 가스 순도 요구 조건이 점차 엄격해질 수 있다.
도 13을 참조하여 설명한 방법을 사용하면, 최종적인 광 구조(10)는, 문제가 생길 소지가 있는 저온 SiGe 또는 Ge 버퍼를 갖지 않을 수 있으며, 또한 오히려, 예컨대 실리콘으로 형성될 수 있는 도파관(2105)과 같은 도파관에 인접하여 및 이 도파관 상에 직접 형성되는 게르마늄을 포함할 수 있다. 도 13에서 제공된 방법에 따르면, 저온 SiGe 또는 Ge 버퍼를 갖지 않는 광 검출기 구조에서 사용되도록 형성된 광 구조(10)는 확장된 결함의 양이 감소된 것을 특징으로 하며, 따라서 광의 검출 효율 및 검출 속도에 중요한 역누설 전류가 감소될 수 있다.
도 13의 방법은 약 150 ㎛ 미만의 폭을 갖는 트렌치 내에 게르마늄 형성부를 생성하는데 특히 적합하다. 약 150 ㎛를 초과하는 폭을 갖는 트렌치는 충전 높이가 낮아질 뿐만 아니라 표면 조도가 나쁠 수 있다. 광 디바이스에 있어서 일반적인 광학 디바이스의 트렌치의 폭이 약 10 ㎛ 미만이기 때문에, 본 발명의 방법은 다양한 범위의 광 디바이스에서 사용하기에 충분히 적절하다. 게르마늄의 성장에 필요한 영역을 제한, 예컨대 트렌치(610)의 폭에 의해서 형성되는 영역으로 제한하게 되면 비정상적인 형상의 형성이 감소될 수 있고 또한 게르마늄 형성부 및 실리콘층 사이에 저온 SiGe 또는 Ge 버퍼없이 실리콘층 상에의 게르마늄의 성장을 용이하게 할 수 있음을 알게 되었다. 트렌치(610)는 약 10 ㎛ 미만의 폭을 가질 수 있으며 또한, 일 실시예에 있어서, 200 nm 만큼 또는 더 작은 폭에 상당하는 우수한 충전 특성을 특징으로 할 수 있다.
다시 도 13의 흐름도를 참조하면, 블록(420)에 뒤이어서 평탄화 공정이 수행될 수 있다. 도 16은 게르마늄의 평탄화 단계 이후의 도 15의 광 구조를 도시하고 있다. 게르마늄의 과충전부는 제거되고 또한 평탄화되어 게르마늄 형성부(640)의 상부 높이가 캡층일 수 있는 층(1202)의 상부 높이와 동일할 수 있다. 화학적 기계적 연마(CMP, chemical mechanical planarization) 공정을 사용하여 평탄화를 수행할 수 있다. CMP 공정은 산화물로 형성될 수 있는 층(1202)은 거의 침식되지 않도록 하면서 Ge을 선택적으로 제거하는데 사용될 수 있다. 과성장한 게르마늄 형성부(640)는 도 15에 나타낸 바와 같이 명확하게 형성된 절단면과 날카로운 코너 및 돌기부를 갖는 버섯 모양의 구조를 나타낼 수 있다. 이와 같은 형상의 제거를 위해서, CMP 공정은, (수산화물 기반의) 개질 슬러리와 제 1 소프트(soft) 패드를 사용하고 이어서 제 2 하드(hard)(또는 표준) 패드를 사용하는 단계를 포함할 수 있다.
평탄화 이후에, 광 구조(10)는 도 16에 도시한 바와 같이 추가적인 공정을 통해서 광 검출기 구조의 제조를 완료할 수 있다. 도 17은 이온 주입 영역(650)의 상부 접점을 형성하고, 층(1202) 위에, 예컨대 산화물과 같은 유전 물질로 형성된 층(1203)을 증착하고, 또한 도전성 물질 형성부(712)를 사용하여 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치의 패터닝 단계 및 충전 단계 이후의 도 16의 광 구조를 도시하고 있다. 유전 물질로 형성된 층(120)은 클래딩층일 수 있는 층(1201), 캡층일 수 있는 층(1202), 및 접점 스페이서층(contact spacer layer)일 수 있는 층(1203)을 포함할 수 있다. 계속하여 도 17을 참조하면, 이온 주입 영역(660)의 하부 접점은 유전층(120)의 구축 이전에 층(210)의 도파관(2105) 및 층(120)에 형성된 트렌치(610) 내에 형성될 수 있다. 다른 실시예에 있어서, 이온 주입 영역(660)의 하부 접점은 대신에 게르마늄 형성부(640) 내에 형성될 수 있다. 다른 실시예에 있어서, 이온 주입 영역(660)의 하부 접점은 대신에 부분적으로 도파관(2105) 내에 및 부분적으로 게르마늄 형성부(640) 내에 형성될 수 있다. 본 발명에서 설명하는 바와 같이, 게르마늄 형성부(640) 내에 또는 게르마늄 형성부(640)에 인접한 구조 내에 이온 주입 영역(650) 및 이온 주입 영역(660)을 형성하면 p-i-n 광 검출기 구조(하부의 p 영역) 또는 n-i-p 광 검출기 구조(하부의 n 영역)가 형성된다.
일 양태에 있어서, 이온 주입 영역(650)의 위치는 게르마늄 형성부(640)의 감소된 영역으로 제한될 수 있다. 이온 주입 영역(650)은, 일 실시예에 있어서, 둘레부(651) 내에 형성될 수 있다. 일 양태에 있어서, 이온 주입 영역(650)은 트렌치로부터 이온 주입 영역까지 임계 거리(L1) 이상의 이격 거리(D1)를 가지도록 형성될 수 있다. 이격 거리(D1)는 이온 주입 영역(650)의 둘레부(651)와 (산화물로 형성될 수 있는 층(120)과 접촉하고 있는) 게르마늄 형성부(640)의 둘레부(641) 사이의 거리일 수 있다. 게르마늄 형성부(640)의 둘레부(641)가 트렌치(610)를 형성할 수 있는 층(120)과 접촉할 수 있기 때문에, 이격 거리(D1) 또한 이온 주입 영역(650)의 둘레부(651)와 트렌치(610) 사이의 거리일 수 있다. 일 실시예에 있어서, 이격 거리(D1)는 게르마늄 형성부(640)의 상부 영역 전체에 걸쳐서 실질적으로 일정하며 또한 이온 주입 영역(650)의 둘레부(651) 및 게르마늄 형성부(640)의 둘레부(641)에 대해서 수직으로 연장되는 방향에 존재할 수 있다. 그와 같은 실시예에 있어서, 이격 거리(D1)는 이온 주입 영역(650)의 전체 둘레부(651) 및 게르마늄 형성부(640)의 전체 둘레부(641)에 걸쳐서 상술한 소정의 임계 거리와 동일하거나 이를 초과할 수 있다. 일 실시예에 있어서, L2는 100 nm이고, 다른 실시예에 있어서, 200 nm, 다른 실시예에 있어서, 300 nm, 다른 실시예에 있어서, 400 nm, 다른 실시예에 있어서, 500 nm, 다른 실시예에 있어서, 600 nm, 다른 실시예에 있어서, 700 nm, 다른 실시예에 있어서, 800 nm, 다른 실시예에 있어서, 900 nm, 다른 실시예에 있어서, 1.0 ㎛이다. 이격 거리(D1)는, 예컨대 처리 공정 중에 배선 폭의 치수 확장, 최소 프린트 가능한 형상의 치수, 및 신뢰 가능한 최대 형상 프린트의 정렬 오류 등에 기초하여 설계될 수 있다.
본 발명에서는 실리콘 광 구조 및 공정에 대해서 설명하며, 여기에서 게르마늄 광 검출기 구조는 하부 이온 주입 영역(660)과 비교하여 반대 극성을 갖는 감소된 면적의 상부 이온 주입 영역(650)을 포함할 수 있다. 트렌치로부터 D1의 이온 (주입) 이격 거리를 가지도록 이온 주입 영역(650)을 형성하게 되면, 누설 전류의 발생 빈도가 감소될 수 있다. 일 실시예에 있어서, 트렌치에서 주입 영역까지 각 에지 상에서 (둘레부(651)에서) 산화물 트렌치로부터 0.75 ㎛의 임계 거리(L1) 이상의 이격 거리(D1)로 이격된 상부 이온 주입 영역(650)을 사용하여 평방 마이크로미터당 약 1 나노 암페어 미만의 역누설 전류 밀도가 달성될 수 있다. 도스(dose) 및 에너지를 맞춤 설정하여 도전성 물질 형성부(712)에 의해서 제공되는 전도체 접점에 얕은 옴 접점을 생성할 수 있으며, 또한 얇은 주입 스크린 산화물을 사용하여 Ge 스퍼터의 제거를 회피할 수 있다. 일 실시예에 있어서, 이온 주입 영역(650)은 얕은 상부 이온 주입부를 형성하도록 형성될 수 있다.
계속하여 도 17을 참조하면, 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치는 층(1203) 내에 형성될 수 있다. 이와 같은 트렌치의 형성에 바로 이어서, 도전성 물질 형성부(712)는 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치 내에 형성될 수 있다. 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치의 패터닝을 위해서, 하드 마스크 물질로 형성된 층(150)이 층(1203) 위에 형성될 수 있다. 층(150)은, 일 실시예에 있어서, 약 5 nm 내지 약 150 nm의 두께를 가질 수 있고 또한 (예컨대, 실리콘 다이옥사이드와 같은) 유전체 하드 마스크 물질로 형성될 수 있으며 또한 건식 식각의 효율을 증가시키고 또한 후속하는 연마 공정에 있어서 중지층(stopping layer)을 제공할 수 있다. 도전성 물질 형성부(712)는 약 900 nm 내지 약 1600 nm 범위 내의 파장을 반사하는 반도체 호환 금색 배선 물질로 형성될 수 있다. 도전성 물질 형성부(712)는 게르마늄 화합물(germanide)이 없는(내화성) 도전성 물질 형성부일 수 있다. 일 양태에 있어서, 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치는 도전성 물질 형성부(712)가 이온 주입 영역(650)의 둘레부(651)로부터 이격된 둘레부(713)를 갖도록 패터닝될 수 있다. 도 17을 참조하면, 이격 거리(D2)는 접점 형성부(712)의 둘레부(713) 및 이온 주입 영역(650)의 둘레부(651) 사이의 거리일 수 있다. 일 실시예에 있어서, 이격 거리(D2)는 임계 거리(L2)와 동일하거나 이를 초과할 수 있다. 일 실시예에 있어서, 이격 거리(D2)는 이온 주입 영역(650)의 영역 전체에 걸쳐서 실질적으로 일정하며 또한 접점 형성부(712)의 둘레부(713) 및 이온 주입 영역(650)의 둘레부(651)에 대해서 수직으로 연장되는 방향에 존재할 수 있다. 그와 같은 실시예에 있어서, 이격 거리(D2)는 도전성 물질 형성부(712)의 둘레부(713) 전체 및 이온 주입 영역(650)의 둘레부(651) 전체에 걸쳐서 상술한 임계 거리 이상일 수 있다. 일 실시예에 있어서, L2는 100 nm이고, 다른 실시예에 있어서, 200 nm, 다른 실시예에 있어서, 300 nm, 다른 실시예에 있어서, 400 nm, 다른 실시예에 있어서, 500 nm, 다른 실시예에 있어서, 600 nm, 다른 실시예에 있어서, 700 nm, 다른 실시예에 있어서, 800 nm, 다른 실시예에 있어서, 900 nm, 다른 실시예에 있어서, 1.0 ㎛이다. 이온 주입 영역(650)의 둘레부(651)로부터 이격되도록 도전성 물질 형성부(712)를 형성하게 되면 도전성 물질 형성부(712)가 이온 주입 영역(650)의 일 영역 내에 완전하게 포함될 수 있음이 보장된다. 본 발명에서는 실리콘 광 구조 및 공정에 대해서 설명하였으며, 여기에서 게르마늄 광 검출기 구조는 상부 이온 주입 영역(650)의 일 영역 내에 완전하게 포함되는 감소된 영역의 상부 금속 도전성 물질 형성부(712)를 포함할 수 있다. 이격 거리(D2)는, 예컨대 처리 공정 중에 배선 폭의 치수 확장, 최소 프린트 가능한 형상의 치수, 및 신뢰 가능한 최대 형상 프린트의 정렬 오류 등에 기초하여 설계될 수 있다.
도전성 물질 형성부(712)를 형성하기 전에, 도전성 물질 형성부(712)가 점유하고 있는 것으로 도시된 트렌치에 다양한 공정을 적용하여 실질적으로 도전성 물질 형성부(712)에 (니켈 게르마늄 화합물과 같은) 금속 게르마늄 화합물 상이 없도록 할 수 있다. 이온 주입 영역(650)은 도전성 물질 형성부(712)로 형성된 게르마늄 화합물이 없는 금속 상부 접점에 대해 감소된 저항 접속을 가능하게 한다. 일 실시예에 있어서, 하부 이온 주입 영역(660)은 실리콘으로 형성되는 층(210)에 의해서 형성되는 도파관(2105) 내에 형성될 수 있다.
도 18을 참조하면, 도면에는 실리사이드(silicide) 접점 계면을 갖는 광 구조(10)의 제조 방법이 설명되어 있다. 광 구조(10)는 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치의 형성 이후의 중간 제조 단계와 관련되어 있다. 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치는, 예컨대 산화물과 같은 유전 물질로 형성될 수 있는 층(120) 내에 형성될 수 있다. 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치를 형성한 이후, 실리사이드 형성부(730)는 이와 같은 트렌치의 하부에 형성될 수 있으며, 이후에 도전성 물질 형성부(722)는 이와 같은 트렌치 내에 형성될 수 있다.
다른 양태에 있어서, 광 구조(10)는 실리사이드 형성부(730)를 포함할 수 있다. 실리사이드 형성부(730)를 형성하기 위해서, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치 내에, 예컨대 니켈(Ni) 또는 니켈 백금(NiPt)과 같은 금속층을 스퍼터링할 수 있고 또한 이어서 실리사이드 형성 단계 중에 어닐링되어 이미 형성된 금속이 층(210)의 실리콘과 반응하여 실리사이드 접점 계면을 형성할 수 있는 실리사이드 형성부(730)를 형성할 수 있다. 실리사이드 형성부(730)는, 예컨대 니켈 실리사이드(NiSi, nickel silicide) 또는 니켈 백금 실리사이드(nickel platinum silicide)로 형성될 수 있다. 실리콘으로 형성된 층(210)과의 계면 이외에서의 광 구조(10)의 영역, 예컨대 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치를 형성하는 측벽 및 층(150)의 상부에서의 영역에 있어서, 증착되어 있는 금속은 반응하지 않고 남아 있을 수 있다. 어닐링하기 전에, 일 실시예에 있어서, 예컨대 티타늄 나이트라이드(TiN)으로 형성되는 얇은 캡층(미도시)을 이미 형성된 니켈 또는 니켈 백금(nickel platinum) 위에 형성할 수 있다. 얇은 캡층은 금속 증착에 의해서 부정적인 영향을 받을 수 있는 공정 툴을 보호할 수 있다. (예컨대, Ni, NiPt와 같은) 반응하지 않는 금속 및 얇은 캡층은 이후에 적절한 습식 화학 용액 내에서 제거될 수 있다. 광 구조(10)는 이후에 상변태 단계에서 추가적으로 어닐링되어 실리사이드 형성부(730)가 낮은 비저항 상으로 상변태될 수 있다. 상변태 단계 어닐링은 실리사이드 형성부 어닐링보다도 더 높은 온도에서 수행될 수 있다. 일 실시예에 있어서, 상변태 단계 어닐링은 섭씨 약 300 도 및 섭씨 약 550 도 사이의 온도에서 수행될 수 있다. 일 실시예에 있어서, 실리사이드 형성 단계의 어닐링은 섭씨 약 350 도 및 섭씨 약 500 도 사이의 온도에서 수행될 수 있다.
도 18에 나타낸 바와 같이 실리사이드 형성부(730)의 형성에 대한 난제는 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치의 구조에 의해서 부과될 수 있음을 알게 되었다. 일부 실시예에 있어서, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치가, 예컨대 400 nm 미만의 좁은 배선폭을 포함하는 경우에 있어서, 형성된 금속, 예컨대, Ni, NiPt와 같은 금속이 우선적으로 광 구조(10)의 상부면(층(150)의 상부)에 또는 실리콘으로 형성될 수 있는 층(210)의 계면에서 트렌치 하부에 대해 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치의 측벽에 형성될 수 있음을 알게 되었다. 일 실시예에 있어서, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치는 약 1.3 ㎛를 초과하는 깊이 및 약 350 nm를 초과하는 폭을 가질 수 있다. 이와 같은 문제점을 해결하기 위해서는, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치 내에 형성된 실리사이드 형성용 금속이 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치 내를 과충전하도록 하여 실리콘으로 형성될 수 있는 층(210)의 계면에서 적당량의 금속이 확실하게 형성될 수 있도록 한다. 일 실시예에 있어서, 예컨대 Ni 또는 NiPt와 같은 금속으로 형성된 약 1.3 ㎛를 초과하는 깊이 및 약 350 nm를 초과하는 폭을 포함하는 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치는, 예컨대 스퍼터링을 통해서, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치의 하부에서 소정의 깊이 보다 네 배(4x)의 깊이까지 증착될 수 있다. 일 실시예에 있어서, 형성된 금속은 도 18의 중간 제조 단계에서 나타낸 바와 같이 광 구조(10)의 상부에서 약 40 nm의 두께로 증착되어 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치의 하부에서 약 10 nm의 두께를 형성할 수 있다.
계속하여 도 18을 참조하면, 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치 내에 도전성 물질 형성부(722)를 형성한 이후의 광 구조(10)가 도시되어 있다. 도전성 물질 형성부(722)는 구리(Cu)로 형성될 수 있으며, 일 실시예에 있어서, 스퍼터링, 도금, 및 평탄화 폴리싱이 수행될 수 있다. 계속하여 도 18을 참조하면, 층(151)은 도전성 물질 형성부(722)가 점유하고 있는 것으로 도시된 트렌치 내에 접점을 형성하기 전에 층(150) 상에 증착될 수 있다. 층(151)은 약 5 nm 내지 약 150 nm의 두께까지 (예컨대, 실리콘 나이트라이드와 같은) 유전체 하드 마스크 물질로 형성될 수 있으며 또한 건식 식각의 성능 향상에 도움이 되고 또한 도전성 물질 형성부(722)가 폴리싱될 수 있는 폴리싱 공정에 대한 중지층을 제공한다.
광 구조(10)의 상부 금속 배선층의 각 양상에 대해서 도 19 및 도 20을 참조하여 설명하기로 한다.
도 19를 참조하면, 층(160)을 형성하고, 도전성 물질 형성부(742)가 점유하고 있는 것으로 도시된 트렌치를 패터닝하고, 또한 도전성 물질 형성부(742)로 그와 같은 트렌치를 충전한 이후의 광 구조(10)가 도시되어 있다. 층(160)은, 예컨대 산화물과 같은 유전 물질로 형성될 수 있으며 또한 층(151) 위에 형성될 수 있다. 도전성 물질 형성부(742)가 점유하고 있는 것으로 도시된 트렌치는 층(160), 층(151), 및 층(150)을 통해서 연장되어 도전성 물질 형성부(712) 및 도전성 물질 형성부(722)를 노출하도록 형성될 수 있다. 도전성 물질 형성부(722)는, 예컨대 저온 어닐링으로 치밀해지고, 또한 최종적으로 평탄화되어 도전성 물질 형성부(742)가 도 19에 나타낸 바와 같이 평 배선 어셈블리(742)를 형성하도록 하는 구리를 포함할 수 있다.
도 20을 참조하면, 층(160) 상의 층(152) 및 형성부(742)를 형성한 이후, 층(152) 상에 층(170)을 형성한 이후, 이어지는 패터닝에 의해서 도전성 물질 형성부(752)가 점유하고 있는 것으로 도시된 트렌치를 형성하고, 또한 도전성 물질 형성부(752)로 그와 같은 트렌치를 충전한 이후의 도 19에 나타낸 광 구조(10)가 도시되어 있다. 층(170)은, 예컨대 산화물과 같은 유전 물질일 수 있으며 또한 층(152) 및 와이어를 형성하는 도전성 물질 형성부(742) 위에 형성될 수 있다. 도전성 물질 형성부(752)가 점유하고 있는 것으로 도시된 트렌치는 층(170) 및 층(152)을 통해서 연장되어 도전성 물질 형성부(742)를 노출하고 있다.
도전성 물질 형성부(752)는, 예컨대 저온 어닐링으로 치밀해지는 구리, 텅스텐, 또는 알루미늄으로 형성될 수 있으며, 또한 최종적으로 평탄화되어 배선을 형성하는 도전성 물질 형성부(752)가 평 배선 어셈블리를 형성한다. 도 20에 나타낸 바와 같이 도전성 물질 형성부(752)는, 일 실시예에 있어서, 알루미늄(Al)으로 형성될 수 있다.
도 20을 참조하여 설명한 광 구조(10)는 각각 도전성 물질 형성부(712 및 722)와 접촉하는 제 1 도전성 물질 형성부(742)를 갖는 제 1 금속 배선 레벨(M1) 및 각각 도전성 물질 형성부(742)와 접촉하는 제 2 도전성 물질 형성부(752)를 갖는 제 2 금속 배선 레벨(M2)을 포함할 수 있다. 도 20의 실시예에 있어서, 금속 배선층(M1)은 구리(Cu)로 형성된 도전성 물질을 포함할 수 있고 또한 금속 배선 레벨(M2)은 알루미늄(Al)으로 형성된 금속 형성부를 포함할 수 있다. 도전성 알루미늄 금속을 포함하는 금속 배선 레벨(M2)은 접합 와이어의 수용 또는 저온 및 고온에서의 디바이스의 측정을 위한 접점 패드를 형성할 수 있다.
도 20에 나타낸 바와 같이, 금속 배선 레벨(M2)은 금속 배선 레벨(M1)과 마찬가지로 단일층 다마신 공정에 의해서 형성될 수 있으며, 이 공정은 도전성 금속 배선 물질의 단일 레벨(single-level) 패터닝, 충전, 및 평탄화가 제공된다.
도시하지는 않았지만, 대체 수단으로서의 금속 배선 다마신 공정에 대해서 도 20을 참조하여 설명하기로 한다. 회로 설계의 결과로서 금속 배선 레벨(M1) 및 금속 배선 레벨(M2) 사이에 중간 비아 접속부가 필요한 경우, 이중 다마신 금속 배선 공정을 채택할 수 있으며, 이 공정에서는 도전성 물질 형성부(742) 및 도전성 물질 형성부(752)를 수용하기 위한 비아와 금속 배선 트렌치가 먼저 연속적인 패터닝 및 식각을 통해서 형성되고, 이후의 (예컨대, 스퍼터링과 같은) 공통 증착, 도금(plating), 및 연마 공정에서 충전 및 평탄화 처리된다. 전기적인 접점없이, 예를 들면, 금속 배선 레벨(M2)의 배선 레벨이 금속 배선 레벨(M1)의 배선 레벨을 가로질러야 할 필요가 있는 경우에는 비아 접속부가 필요할 수 있다. 이중 다마신 공정은 두 개의 분리된 단일 다마신 공정을 이용하는 것에 비해서 공정 흐름을 단축하고 또한 복잡성을 감소시킨다.
(예컨대, 실리콘 또는 실리콘 나이트라이드와 같은) 도파용 핵심 물질을 형성하는 상업적으로 이용 가능한 증착 조건은 섭씨 약 500 도를 초과하는 온도에서 처리될 것을 요구하고 있음을 알게 되었다. 일 예시로서, 섭씨 550 도에서 비정질 실리콘을 증착하기 위해서 통상적으로 디실란(disilane)을 사용하고 있고, 또한 섭씨 약 750 도를 초과하는 기판 온도를 사용하여 LPCVD 실리콘 나이트라이드를 성장시키고 있다. 웨이퍼 상에 금속 배선 형성부를 완성하기 위한 상업적으로 이용 가능한 공정 단계의 온도로서는 구리의 경우 섭씨 400 도로 제한될 수 있으며, 이는 종래의 도파용 핵심 물질에서는 배선 레벨의 모듈에 집적할 수 없는 온도이다. 그러나, 본 발명에서 설명하는 방법은 현저하게 낮은 기판 온도를 가능하게 하며, 따라서 후공정(back-end) 모듈에 광 소자를 집적할 수 있다. 예를 들면, 나이트라이드 CVD 중의 플라즈마 강화는 공정 온도를 섭씨 400 도 범위 내의 온도로 감소시킬 수 있다. 또한 비정질 실리콘 CVD 중에 (펜타실란(pentasilane)과 같은) 신규한 장쇄(long-chain) 전구체를 사용하면 유사한 온도를 얻을 수 있다.
일 실시예에 있어서, 본 발명에서 나타내고 있는 바와 같은 제조 방법을 사용하면, 구조(10)는 웨이퍼 상에 금속 배선이 형성된 이후, 후공정(BEOL, back-end-of-the-line) 스택에 매립된 광 소자를 포함할 수 있다. 도 21을 참조하면, 도시된 M1 및 V1과 같은 각각의 비아 또는 와이어 금속 배선 레벨은 (예컨대, 높이(8001) 또는 높이(8003)와 같은 배선 레벨의 하부 높이와 동일한 높이에서의, 예컨대 도파관과 같은) 도파관 형상(8000)을 포함하거나 또는 (예컨대, 높이(8002) 및 높이(8004)와 같은) 배선 레벨 벌크(bulk) 내에 매립될 수 있다. BEOL 어셈블리에 매립되는 도파관 형상용의 물질은, 예컨대 실리콘 나이트라이드 또는 실리콘으로 형성될 수 있다. 금속 배선층(V1)과 관련하여, 금속 배선층(V1)은 비아 금속 배선층일 수 있으며, 또한 층(170), 층(153), 및 층(152)을 통해서 연장되는 도전성 물질 형성부(762)를 포함할 수 있다. 도전성 물질 형성부(762)는 도전성 물질 형성부(742)와 접촉할 수 있다. 본 발명에 있어서, 예컨대 형성부(712, 722, 742, 752, 및 762)와 같은 도전성 물질 형성부와 관련하여, 본 발명에 있어서의 도전성 물질 형성부(712, 722, 742, 752, 및 762)는, 예컨대 반도체와 호환되는 금속 배선 물질로 형성될 수 있다. 일 실시예에 있어서, 본 발명의 도전성 물질 형성부(712, 722, 742, 752, 및 762)는 각각 금속 배선 물질로 형성될 수 있으며, 이 금속 배선 물질은 약 1.3 ㎛ 내지 약 1.55 ㎛의 통신 파장 밴드 내의 파장에서 광(light)을 반사하도록 구성된다. 일 실시예에 있어서, 본 발명의 도전성 물질 형성부(712, 722, 742, 752, 및 762)는 각각 금속 배선 물질로 형성될 수 있으며, 이 금속 배선 물질은 약 900 nm 내지 약 1600 nm의 통신 파장 밴드 내의 파장에서 광을 반사하도록 구성된다.
일 실시예에 있어서, 도 21에 나타낸 바와 같이 BEOL 어셈블리에 매립된 광 소자를 갖는 광 구조(10)의 제조는 상기 매립된 광 소자를 둘러싸는 (전형적으로 약 1 ㎛ 내지 약 10 ㎛의) 광학적 근접 거리 내의 영역(8000)으로부터 (예컨대, 실리콘 나이트라이드 또는 질소가 풍부한 SiC와 같은) 고굴절률 필름의 제거를 포함할 수 있다. 뿐만 아니라, 리소그래피 마스크의 디자인을 변경하는 것에 의해서, 상기 매립된 광 소자를 둘러싸는 (전형적으로 약 1 ㎛ 내지 약 10 ㎛의) 광학적 근접 거리 내의 영역(8000)으로부터 각각의 배선 레벨의 충전부(fill) 및 기타 형상이 제거될 수 있다.
일 실시예에 있어서, BEOL 어셈블리에 매립된 광 소자를 포함하는 광 구조(10)는 제조 공정 중에, 고압 산화법과 같은 저온 라인-에지(line-edge) 조도 완화 처리된 이후에 습식 화학 산화물 식각이 이어질 수 있다.
일 실시예에 있어서, 도 22에 개략적으로 도시한 바와 같이, 광 구조(10)는 웨이퍼 수준 또는 칩 수준의 접합에 의해서 생성된 어셈블리에 매립된 광 소자를 포함할 수 있으며, 여기에서 전체 웨이퍼 또는 개별 칩은 핸들 웨이퍼와 정렬되고 또한 이에 접합될 수 있다. 핸들 웨이퍼(A) 및 접합 웨이퍼(B)는 각각 FEOL 및 BEOL 모듈 위에 분산된 (예컨대, 도 22에 나타낸 바와 같은, 도파층(210), 도파층(310), 도파층(320), 및 도파층(410)과 같은) 하나 이상의 광층을 포함할 수 있으며, 또한 공통 또는 상이한 기능을 가질 수 있다. 도파층(310)과 관련하여, 도파층(310)은 실리콘 나이트라이드 도파층일 수 있다. 영역(902)에서, 도파층(310)은 복수의 도파관으로 패터닝될 수 있다. 영역(904)에서, 도파층(310)은 패터닝되지 않은 채로 남아 있을 수 있다. 영역(904)에서, 배선 어셈블리(906)를 초과하는 높이에 형성된 공통 도파층(310)은 배선 어셈블리(906)를 형성하는 하나 이상의 도전성 물질 형성부와 정렬되며 또한 하나 이상의 구리 도전성 물질 형성부를 포함할 수 있는 배선 어셈블리(906)를 보호하기 위한 보호층으로서 기능한다. 영역(904)에서의 도파층(310)은 배선 어셈블리(906)의 확산을 억제할 수 있다. 영역(904)에서의 도파층(310)은 배선 어셈블리(906)의 하나 이상의 도전성 물질 형성부의 보호를 위한 식각 중지층으로 기능할 수 있다. 배선 어셈블리(906)는, 예컨대 도 19 내지 도 21과 연관시켜 본 발명에서 설명한 임의의 배선 어셈블리에 따라서 구성될 수 있으며, 또한 일 실시예에 있어서, 도 19 내지 도 21을 참조하여 나타낸 바와 같이 형성된 광 검출 구조에 결합될 수도 있다. 배선 어셈블리(906)는, 본 발명에서 설명하는 바와 같이, 예컨대 M1, M2, 및 V1과 같은 하나 이상의 배선 레벨을 포함할 수 있다. 일 실시예에 있어서, 접합된 웨이퍼 어셈블리에 매립된 광 소자층을 포함하는 구조(10)는 실리콘 나이트라이드 또는 실리콘으로 형성되는 도파층일 수 있는 도파관 형상을 제공할 수 있다.
다른 실시예에 있어서, 도 22의 예시에서 나타낸 접합 웨이퍼 어셈블리에 매립된 광 소자층을 포함하는 광 구조(10)는 처리 온도가 이들 금속 처리 공정의 온도로 제한된 웨이퍼 중의 하나 상에 (PECVD를 사용한) 저온 실리콘 나이트라이드 또는 (장쇄 전구체를 사용한) 실리콘으로 형성되는 도파층에 의해서 형성되는 도파관 형상을 포함할 수 있다. 일 실시예에 있어서, 접합 웨이퍼 어셈블리에 매립된 광 소자층을 포함하는 광 구조(10)는 핸들부로부터 본딩부까지의 광학 결합이 필요한 경우, 예컨대 본딩 계면 부근의 실리콘 나이트라이드로 형성된 도파층(310)에 의해서 형성되는 것과 같은 실리콘 나이트라이드 광 소자를 포함할 수 있다. 실리콘 나이트라이드에서의 모드 구속(mode confinement)이 감소할 수 있으며, 따라서 실리콘 도파관 코어와 비교하였을 때 여유있는 접합 정렬 및 클래딩층의 두께 제어가 가능하다.
일 실시예에 있어서, 접합 어셈블리에 매립된 광 소자를 갖는 광 구조(10)의 제조는 상기 매립된 광 소자를 둘러싸는 (전형적으로 약 1 ㎛ 내지 약 10 ㎛의) 광학적 근접 거리 내의 영역으로부터 (예컨대, 실리콘 나이트라이드 또는 질소가 풍부한 SiC와 같은) 고굴절률 필름의 제거를 포함할 수 있다. 뿐만 아니라, 리소그래피 마스크 디자인을 변경하는 것에 의해서, 상기 매립된 광 소자를 둘러싸는 (전형적으로 약 1 ㎛ 내지 약 10 ㎛의) 광학적 근접 거리 내의 핸들부 및 접합부 모두의 영역으로부터 각각의 배선 레벨의 충전부 및 기타 형상이 제거된다.
일 실시예에 있어서, 접합 어셈블리에 매립된 광 소자를 포함하는 광 구조(10)는 제조 공정 중에, 고압 산화법과 같은 저온 라인-에지 조도 완화 처리된 이후에 습식 화학 산화물 식각이 이어질 수 있다.
본 발명의 방법 장치 및 시스템의 일부 예시에는 다음의 것들이 포함된다.
A1. 광 구조의 제조 방법으로서, 도파 물질층 위에 하드 마스크 물질 스택을 형성하는 단계; 상기 하드 마스크 물질 스택 위에 유기 리소그래피 물질 스택을 증착하는 단계; 및 상기 유기 리소그래피 물질 스택을 패터닝하는 단계;를 포함하며, 상기 패터닝 단계는 상기 하드 마스크 물질 스택에서 중지하는 단계를 포함한다. A2. A1의 방법으로서, 상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 도파관 형상을 패터닝하는 단계를 포함한다. A3. A1의 방법으로서, 상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하며, 또한 상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함한다. A4. A1의 방법으로서, 상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하고, 상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함하고, 상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 도파관 형상을 패터닝하는 단계를 포함하며, 또한 상기 세정 단계는 상기 패터닝 단계에 이어서 수행된다.
B1. 광 구조에 있어서, 도파 물질로 형성되는 복수의 광층;을 포함하며, 상기 복수의 광층이 제 1 광층 및 제 2 광층을 포함하는 단계; 및 하나 이상의 도파관은 상기 제 1 광층 및 상기 제 2 광층의 각각에 의해서 형성된다. B2. B1의 광 구조로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성된다. B3. B2의 광 구조로서, 각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 및 실리콘 나이트라이드로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성된다. B4. B1의 광 구조로서, 상기 제 1 광층 및 상기 제 2 광층은 공통 도파 물질로 형성된다. B5. B1의 광 구조로서, 각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 및 실리콘 나이트라이드로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는, 광 구조. B6. B1의 광 구조로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고 또한 서로 다른 높이에서 형성된다. B7. B1의 광 구조로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고, 또한 상기 제 1 광층 및 상기 제 2 광층은 공통 높이에 있다. B8. B7의 광 구조로서, 상기 제 1 광층의 하부 높이 및 상기 제 2 광층의 하부 높이는 공통 높이에 형성된다. B9. B1의 광 구조로서, 상기 광 구조는 상기 제 1 광층 및 상기 제 2 광층을 격리하는 층간 절연층을 포함한다. B10. B1의 광 구조로서, 상기 하나 이상의 도파관은 직사각형의 기하학적 형성 및 리지형의 기하학적인 형상으로 구성된 군 중에서 선택된 어느 하나의 기하학적인 형상을 가지고 있다. B11. B1의 광 구조로서, 상기 제 1 광층은 상기 제 2 광층 아래의 높이에 있다. B12. B1의 광 구조로서, 상기 제 1 광층은 상기 제 2 광층 위의 높이에 있다. B13. B1의 광 구조로서, 상기 광 구조는 (a) 서로 다른 두께의 제 1 및 제 2 도파관이 상기 제 1 광층 내에 형성되는 형상, (b) 제 1 최저 두께를 갖는 제 1 도파관이 상기 제 1 광층에 의해서 형성되고 또한 제 2 최저 두께를 갖는 제 2 도파관이 상기 제 2 광층에 의해서 형성되는 형상, (c) 서로 다른 기하학적인 형상을 갖는 도파관이 상기 제 1 광층에 의해서 형성되는 형상, 및 (d) 제 1 기하학적인 형상의 제 1 도파관이 상기 제 1 광층에 의해서 형성되고 또한 제 2 기하학적인 형상의 제 2 도파관이 상기 제 2 광층에 의해서 형성되는 형상으로 구성된 군 중에서 선택된 하나 이상의 형상을 특징으로 한다. B14. B1의 광 구조로서, 상기 복수의 광층은 제 1 광층, 제 2 광층, 제 3 광층, 및 제 4 광층을 포함한다. B15. B1의 광 구조로서, 상기 복수의 광층은 상기 제 1 광층, 상기 제 2 광층, 제 3 광층, 및 제 4 광층을 포함하며, 또한 각각의 상기 제 1 광층, 상기 제 2 광층, 상기 제 3 광층, 및 상기 제 4 광층은 서로 다른 높이에 형성된다.
C1. 광 구조에 있어서, 광층 및 상기 광층에 의해서 형성되는 하나 이상의 도파관;을 포함하며, 상기 광 구조는 (a) 상기 광층 내에 형성된 서로 다른 최소 두께의 제 1 및 제 2 도파관, 및 (b) 상기 광층에 의해서 형성된 서로 다른 기하학적인 형상의 도파관으로 구성된 군 중에서 선택된 어느 하나로부터 선택된 형상을 포함한다. C2. C1의 광 구조로서, 상기 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 및 실리콘 나이트라이드로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는, 광 구조이다.
D1. 광 구조의 제조 방법으로서, 제 1 광층 내에 제 1 도파관을 패터닝 단계로서, 상기 제 1 광층은 제 1 도파 물질 상에 형성되는 단계; 및 상기 제 1 도파관 주위에 유전층을 형성하는 단계;를 포함한다. D2. D1의 방법에 있어서, 상기 유전층의 유전 물질은 플라즈마 강화 증착 산화물을 포함한다. D3. D1의 방법에 있어서, 상기 형성 단계는 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용하는 단계를 포함한다. D4. D1의 방법에 있어서, 상기 형성 단계는 상기 제 1 광층 위에 플라즈마 강화 산화물을 형성하여 형상의 에지에 근접한 수직면 상의 증착 속도는 억제하면서 수평면 상의 상기 플라즈마 강화 산화물은 우선적으로 증착되도록 하며, 그 결과 전체적으로 비등각성 구조의 필름이 증착되는 단계를 포함한다. D5. D1의 방법에 있어서, 상기 형성 단계는 최소 배선폭의 갭(gap)에 보이드가 최소화되어 충전되는 방식으로 비등각성 산화물을 증착하기 위한 공정 조건을 적용하는 단계를 포함한다. D6. D1의 방법에 있어서, 상기 방법은 추가층에 대한 평탄화 처리를 제공하기 위해서 상기 유전층을 평탄화하는 단계를 포함한다. D7. D1의 방법에 있어서, 상기 방법은 하나 이상의 추가 도파층에 대해서 수정된 유전 격리 거리를 제공하도록 상기 유전층 위에 제 2 유전층을 형성하는 단계를 포함한다.
E1. 광 구조의 제조 방법으로서, 제 1 층 내의 제 1 도파관을 패터닝하는 단계를 포함하며, 상기 제 1 층은 제 1 도파 물질로 형성된다. E2. E1의 방법으로서, 상기 도파층 및 상기 하나 이상의 도파 레벨 위의 층을 처리하는 단계는, 리소그래피, 식각, 및 세정을 사용하여 도파관의 광학적 근접 거리 내의 실리콘 나이트라이드 물질 및 기타 광 소자를 제거하는 단계; 리소그래피, 식각, 및 세정을 사용하여 도파관의 상기 광학적 근접 거리 내의 질소가 풍부한 실리콘 카바이드 물질 및 기타 광 소자를 제거하는 단계; 마스크 디자인에 있어서 각각의 도파층 내의 충전 형상을 생략하는 단계; 마스크 디자인에 있어서 각각의 금속 배선층 내의 충전 형상을 생략하는 단계; 및 마스크 디자인에 있어서 각각의 접속 금속 비아층 내의 충전 형상을 생략하는 단계;를 포함한다. E3. E1의 방법으로서, 상기 제 1 도파 물질은 실리콘이며, 또한 상기 방법은 H2 어닐링을 사용하여 상기 제 1 도파관의 라인 에지 조도 처리를 수행하는 단계를 포함한다. E4. E3의 방법으로서, 상기 H2 어닐링은 섭씨 약 700 및 섭씨 약 950 도 사이의 온도에서 수행된다.
F1. 광 구조의 제조 방법으로서, 나이트라이드계 도파 물질로 형성된 층을 증착하는 단계; 및 도파관을 형성하도록 나이트라이드계 도파 물질로 형성된 상기 층을 패터닝하는 단계;를 포함하며, 상기 증착 단계는 플라즈마 강화 화학 기상 증착법을 포함한다. F2. F1의 방법으로서, 상기 방법은 하나 이상의 오염물, 개재물, 보이드(void), 또는 비화학 양론적인 산물을 수정하기 위해 나이트라이드 도파 물질로 형성된 상기 층의 처리를 수행하는 단계를 포함하며, 상기 처리는 열 어닐링(thermal annealing) 또는 방사 노광(exposure to radiation)으로 구성된 군 중에서 선택된 어느 하나이다. F3. F1의 방법으로서, 상기 방법은 나이트라이드계 도파 물질로 형성된 상기 층을 평탄화하는 단계 및 평활화하는 단계를 더 포함한다. F4. F1의 방법으로서, 상기 도파관 위에 비등각 고종횡비 갭 충전 유전 물질을 증착하는 단계를 더 포함한다. F5. F1의 방법으로서, 층을 증착하는 상기 단계는 PECVD를 사용하는 단계를 포함한다.
G1. 광 검출기 구조의 제조 방법에 있어서, 실리콘 도포관 위에 유전 물질을 형성하는 단계; 상기 실리콘 도파관까지 연장되는 트렌치를 상기 유전 물질에 식각하는 단계; 상기 트렌치 내에서 게르마늄을 에피택시얼 성장시키는 단계; 상기 에피택시얼 성장하여 형성된 게르마늄을 어닐링하는 단계; 상기 게르마늄이 상기 트렌치를 과충전하기 전까지 상기 에피택시얼 성장 및 상기 어닐링을 반복하는 단계; 상기 게르마늄의 과충전부를 평탄화하는 단계; 및 이온 주입 및 금속 배선 기법을 사용하여 상부 및 하부 접점을 생성하는 단계;를 포함한다. G2. G1의 방법으로서, 상기 에피택시얼 성장 단계는 게르마늄이 상기 실리콘 도파관 상에 형성되도록 수행된다. G3. G1의 방법으로서, 상기 에피택시얼 성장 단계는 상기 광 검출기 구조가 상기 실리콘 도파관에 인접하여 저온 SiGe 또는 Ge 버퍼 구조를 갖지 않도록 수행된다. G5. G1의 방법으로서, 상기 게르마늄의 에피택시얼 성장 단계는 도핑 가스를 사용하지 않고 수행하여 상기 에피택시얼 성장 단계에 의해서 진성(intrinsic) 게르마늄이 형성되도록 한다. G6. G1의 방법으로서, 상기 게르마늄의 에피택시얼 성장 단계는 도펀트(dopant) 전구체를 사용하여 수행되어 상기 에피택시얼 성장 단계에 의해서 현장 도핑되는 게르마늄이 형성된다. G7. G1의 방법으로서, 상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함한다. G8. G1의 방법으로서, 상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 섭씨 약 650 도 내지 섭씨 약 850 도 사이 온도에서의 어닐링하는 단계를 포함한다. G9. G1의 방법으로서, 상기 에피택시얼 성장 단계는 전구체 및 캐리어 가스로서 저메인(GeH4, germane), 및 H2를 사용하여 약 10 Torr 내지 약 300 Torr 범위 내의 압력에서 섭씨 약 550 내지 약 850 도 범위 내의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 약 100 Torr 내지 약 600 Torr 사이의 압력에서 섭씨 약 650 도 내지 섭씨 약 850 도 사이의 온도에서 어닐링하는 단계를 포함한다. G10. G1의 방법으로서, 상기 성장 단계는 유기물 및 금속 오염물 및 자연 산화물(native oxide)을 제거하기 위한 현장외 습식 화학 세정 공정 및 현장 건식 세정 공정 이후에 수행된다. G11. G1의 방법으로서, 상기 성장 단계는 표면의 아화학양론적인 실리콘 옥사이드를 제거하기 위해서 환원성 H2 분위기에서의 현장 열 처리 이후에 추가적으로 수행된다. G12. G1의 방법으로서, 상기 방법은 얕은 상부 접점 이온 주입부의 형성을 수행하는 단계 및 캡 산화물을 증착하는 단계를 포함한다. G13. G1의 방법으로서, 상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 이온 주입 영역을 형성하는 단계를 포함한다. G14. G1의 방법으로서, 상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 이온 주입부를 형성하여 상기 게르마늄의 둘레부와 상기 이온 주입부의 둘레부 사이에 이격 거리가 형성되도록 하는 단계를 포함한다. G15. G1의 방법으로서, 상기 방법은 임계 거리 이상의 이격 거리에 의해서 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 주입 영역을 형성하는 단계를 포함한다. G16. G1의 방법으로서, 상기 방법은 상부 이온 주입 영역 내에 완전하게 포함되는 감소된 영역의 상부 금속 접점을 형성하는 단계를 포함한다.
H1. 광 구조에 있어서, 도파관; 상기 도파관 상에 형성된 게르마늄 형성부; 제 1 이온 주입 영역 및 제 2 이온 주입 영역을 포함하며, 상기 제 2 이온 주입 영역은 상기 제 1 이온 주입 영역과 반대 극성을 가지고 있어 p-i-n 또는 n-i-p 형성부를 형성한다. H2. H1의 광 구조로서, 상기 광 구조는 상기 도파관 및 상기 게르마늄 형성부 사이에 저온 SiGe 또는 Ge 버퍼를 갖지 않는다. H3. H1의 광 구조로서, 상기 제 1 이온 주입 영역은 상기 게르마늄 형성부 내에 형성된다. H4. H1의 광 구조로서, 상기 제 2 이온 주입 영역은 상기 도파관 내에 형성된다. H5. H1의 광 구조로서, 상기 제 2 이온 주입 영역은 상기 도파관 내에 및 상기 게르마늄 형성부 내에 형성된다.
I1. 광 구조에 있어서, 도파관; 상기 도파관 위에 형성되는 유전 물질; 상기 유전 물질에 형성되어 상기 도파관까지 연장되는 트렌치; 상기 트렌치 내에 형성된 게르마늄 형성부; 및 이온 주입 영역;을 포함하여, 상기 이온 주입 영역은 상기 이온 주입 영역이 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되도록 상기 게르마늄 형성부 중의 일 영역 내에 형성되어 있다. I2. I1의 광 구조로서, 상기 이온 주입 영역의 전체 둘레부는 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되어 있다. I3. I1의 광 구조로서, 상기 임계 거리는 750 nm이다. I4. I1의 광 구조로서, 접점을 더 포함하며, 상기 접점은 상기 접점이 임계 거리 이상의 이격 거리에 의해서 상기 이온 주입 영역의 둘레부로부터 이격되도록 상기 이온 주입 영역 중의 일 영역 내의 상기 이온 주입 영역 상에 형성되어 있다. I5. I1의 광 구조로서, 접점을 더 포함하며, 상기 접점은 상기 접점의 전체 둘레부가 임계 거리 이상의 이격 거리에 의해서 상기 이온 주입 영역의 둘레부로부터 이격되도록 상기 이온 주입 영역 중의 일 영역 내의 상기 이온 주입 영역 상에 형성되어 있다.
J1. 광 구조에 있어서, 이온 주입 영역을 갖는 도파관; 상기 도파관에 의해서 전송되는 광을 수신하도록 구성된 게르마늄 형성부; 상기 게르마늄 형성부 상에 형성된 반대 극성으로 도핑된 이온 주입 영역; 상기 도파관의 상기 이온 주입 영역 상에 형성된 실리사이드 형성부; 상기 실리사이드 형성부 상에 형성되는 도전성 물질 형성부; 및 상기 게르마늄 형성부 상에 형성되는 도전성 물질 형성부;를 포함한다. J2. J1의 광 구조로서, 상기 게르마늄 형성부 상에 형성된 상기 도전성 물질 형성부는 게르마늄 화합물(germanide)이 없는 (내화성) 도전성 물질 형성부이다. J3. J1의 광 구조로서, 상기 도파관 위에 형성된 유전 물질; 및 상기 유전 물질에 형성된 트렌치;를 포함하며, 상기 실리사이드 형성부 및 상기 도전성 물질 형성부는 상기 트렌치 내에 형성된다. J4. J1의 광 구조로서, 상기 게르마늄 형성부 위에 형성된 유전 물질; 및 상기 유전 물질에 형성된 트렌치;를 포함하며, 상기 도전성 물질 형성부는 상기 트렌치 내에 형성된다.
K1. 광 구조에 있어서, 배선 어셈블리를 형성하는 도전성 물질 형성부를 갖는 배선 레벨;을 포함하고, 여기에서 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 및 도파층;을 포함하고, 상기 도파층은 상기 배선 레벨의 높이와 동일하거나 이를 초과하는 상기 광 구조의 높이에 형성된다. K2. K1의 광 구조로서, 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 1.3 ㎛ 내지 약 1.55 ㎛의 통신 파장 밴드 내의 파장에서 광(light)을 반사하도록 구성된다. K2. K1의 광 구조로서, 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 900 nm 내지 약 1600 nm의 파장 밴드 내의 파장에서 광을 반사하도록 구성된다. K3. K1의 광 구조로서, 상기 도파층은 실리콘 나이트라이드로 형성된다. K4. K1의 광 구조로서, 상기 도파층은 플라즈마 강화 화학 기상 증착법을 사용하여 증착된 실리콘 나이트라이드로 형성된다. K5. K1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성된다. K6. K1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한 하나 이상의 도파관을 형성하도록 패터닝된 제 1 패턴 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함한다. K7. K1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한 패터닝되어 하나 이상의 도파관을 형성하는 제 1 패터닝 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함하며, 따라서 상기 제 2 영역은 상기 도전성 물질 형성부에 대한 보호층으로서 기능한다.
L1. 광 검출기 구조의 제조 방법에 있어서, 실리콘 위에 유전 물질을 형성하는 단계; 상기 실리콘까지 연장되는 트렌치를 상기 유전 물질에 식각하는 단계; 상기 트렌치 내에서 게르마늄을 에피택시얼 성장시키는 단계; 상기 에피택시얼 성장하여 형성된 게르마늄을 어닐링하는 단계; 상기 게르마늄이 상기 트렌치를 과충전하기 전까지 상기 에피택시얼 성장 및 상기 어닐링을 반복하는 단계; 상기 게르마늄의 과충전부를 평탄화하는 단계; 및 도핑 및 금속 배선 기법을 사용하여 상부 및 하부 접점을 생성하는 단계;를 포함한다. L2. L1의 방법으로서, 상기 에피택시얼 성장 단계는 게르마늄이 상기 실리콘 상에 형성되도록 수행된다. L3. L1의 방법으로서, 상기 에피택시얼 성장 단계는 상기 광 검출기 구조가 상기 실리콘에 인접하여 저온 SiGe 또는 Ge 버퍼 구조를 갖지 않도록 수행된다. L4. L1의 방법으로서, 상기 게르마늄의 에피택시얼 성장 단계는 도핑 가스를 사용하지 않고 수행하여 상기 에피택시얼 성장 단계에 의해서 진성(intrinsic) 게르마늄이 형성되도록 한다. L5. L1의 방법으로서, 상기 게르마늄의 에피택시얼 성장 단계는 도펀트(dopant) 전구체를 사용하여 수행되어 상기 에피택시얼 성장 단계에 의해서 현장 도핑되는 게르마늄이 형성된다. L6. L1의 방법으로서, 상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함한다. L7. L1의 방법으로서, 상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 섭씨 약 650 도 내지 섭씨 약 850 도 사이 온도에서의 어닐링하는 단계를 포함한다. L8. L1의 방법으로서, 상기 에피택시얼 성장 단계는 전구체 및 캐리어 가스로서 저메인(GeH4, germane), 및 H2를 사용하여 약 10 Torr 내지 약 300 Torr 범위 내의 압력에서 섭씨 약 550 내지 약 850 도 범위 내의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 약 100 Torr 내지 약 600 Torr 사이의 압력에서 섭씨 약 650 도 내지 섭씨 약 850 도 사이의 온도에서 어닐링하는 단계를 포함한다. L9. L1의 방법으로서, 상기 성장 단계는 유기물 및 금속 오염물 및 자연 산화물(native oxide)을 제거하기 위한 현장외 습식 화학 세정 공정 및 현장 건식 세정 공정 이후에 수행된다. L10. L1의 방법으로서, 상기 성장 단계는 표면의 아화학양론적인 실리콘 옥사이드를 제거하기 위해서 환원성 H2 분위기에서의 현장 열 처리 이후에 추가적으로 수행된다. L11. L1의 방법으로서, 상기 방법은 얕은 상부 접점 도핑 영역을 형성하는 단계 및 캡 산화물(capping oxide)을 증착하는 단계를 포함한다. L12. L1의 방법으로서, 상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 도핑 영역을 형성하는 단계를 포함한다. L13. L1의 방법으로서, 상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 도핑 영역을 형성하여 상기 게르마늄의 둘레부와 도핑 영역의 둘레부 사이에 이격 거리가 형성되도록 하는 단계를 포함한다. L14. L1의 방법으로서, 상기 방법은 임계 거리 이상의 이격 거리에 의해서 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 도핑 영역을 형성하는 단계를 포함한다. L15. L1의 방법으로서, 상기 방법은 상부 도핑 영역 내에 완전하게 포함되는 감소된 영역의 상부 금속 접점을 형성하는 단계를 포함한다. L16. L1의 방법으로서, 상기 광 구조는 상기 실리콘 및 상기 게르마늄 형성부 사이에 저온 SiGe 또는 Ge 버퍼를 갖지 않는다.
M1. 광 구조에 있어서, 실리콘 위에 형성되는 유전 물질; 상기 유전 물질에 형성되어 상기 실리콘까지 연장되는 트렌치; 상기 트렌치 내에 형성된 게르마늄 형성부; 및 도핑 영역;을 포함하며, 상기 도핑 영역은 상기 도핑 영역이 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되도록 상기 게르마늄 형성부의 일 영역 내에 형성되어 있다. M2. M1의 광 구조로서, 상기 도핑 영역의 전체 둘레부는 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되어 있다. M3. M1의 광 구조로서, 상기 임계 거리는 (a) 200 nm 내지 1000 nm 및 (b) 750 nm로 구성된 군 중에서 선택된 어느 하나이다. M4. M1의 광 구조로서, 상기 임계 거리는 750 nm이다. M5. M1의 광 구조로서, 접점을 더 포함하며, 상기 접점은 상기 접점이 임계 거리 이상의 이격 거리에 의해서 상기 도핑 영역의 둘레부로부터 이격되도록 상기 도핑 영역 중의 일 영역 내의 상기 도핑 영역 상에 형성되어 있다. M6. M1의 광 구조로서, 접점을 더 포함하며, 상기 접점은 상기 접점의 전체 둘레부가 임계 거리 이상의 이격 거리에 의해서 상기 도핑 영역의 둘레부로부터 이격되도록 상기 도핑 영역 중의 일 영역 내의 상기 도핑 영역 상에 형성되어 있다.
N1. 광 구조에 있어서, 도핑 영역을 갖는 실리콘; 상기 실리콘에 의해서 전달되는 광(light)을 수신하도록 구성된 게르마늄 형성부; 상기 게르마늄 형성부 상에 형성되는 반대로 도핑된 도핑 영역; 상기 실리콘의 상기 도핑 영역 상에 형성되는 실리사이드 형성부; 상기 실리사이드 형성부 상에 형성되는 도전성 물질 형성부; 및 상기 게르마늄 형성부 상에 형성되는 도전성 물질 형성부;를 포함한다. N2. N1의 광 구조로서, 상기 게르마늄 형성부 상에 형성된 상기 도전성 물질 형성부는 게르마늄 화합물(germanide)이 없는 (내화성) 도전성 물질 형성부이다.
O1. 광 구조의 제조 방법으로서, 나이트라이드계 도파 물질로 형성된 층을 증착하는 단계; 및 광 형상을 형성하기 위해서 기 나이트라이드계 도파 물질로 형성된 상기 층을 패터닝하는 단계; 상기 증착 단계는 플라즈마 강화 화학 기상 증착법을 포함한다. O2. O1의 방법으로서, 상기 방법은 하나 이상의 오염물, 개재물, 보이드(void), 또는 비화학 양론적인 산물을 수정하기 위해 나이트라이드 도파 물질로 형성된 상기 층의 처리를 수행하는 단계를 포함하며, 상기 처리는 열 어닐링(thermal annealing) 및 방사 노광(exposure to radiation)으로 구성된 군 중에서 선택된 어느 하나이다. O3. O1의 방법으로서, 상기 방법은 나이트라이드계 도파 물질로 형성된 상기 층을 평탄화하는 단계 및 평활화하는 단계를 더 포함한다. O4. O1의 방법으로서, 상기 도파관 위에 비등각 고종횡비 갭 충전 유전 물질을 증착하는 단계를 더 포함한다.
P1. 방법으로서, 트렌치 내에 금속을 증착하는 단계로서, 상기 트렌치가 실리콘으로 형성된 하부 및 유전 물질로 형성된 측벽을 가지고 있는 단계; 실리사이드 형성부의 어닐링을 수행하는 단계로서, 상기 실리콘과 반응하는 금속이 상기 트렌치의 상기 하부에서 실리사이드 형성부를 형성하도록 하는 단계; 및 상변태 단계 어닐링을 수행하여 상기 실리사이드 형성부가 낮은 비저항 상(phase)으로 상변태되도록 하는 단계;를 포함한다. P2. P1의 방법으로서, 금속의 상기 증착 단계는 상기 측벽 상에 미반응 금속이 형성되며, 또한 상기 방법은 실리사이드 형성부의 어닐링을 수행하기 전에 상기 미반응 금속 위에 캡층을 형성하는 단계를 포함한다. P3. P1의 방법으로서, 금속의 상기 증착 단계는 상기 측벽 상에 미반응 금속이 형성되며, 상기 방법은 실리사이드 형성부의 어닐링을 수행하기 전에 상기 미반응 금속 위에 캡층을 형성하는 단계를 포함하며, 또한 상기 방법은 상기 상변태 단계 어닐링을 수행하는 단계 이전에 상기 캡층 및 상기 미반응 금속을 제거하는 단계를 포함한다. P4. P1의 방법으로서, 상기 상변태 단계 어닐링을 수행하는 단계는 상기 실리사이드 형성부의 어닐링 온도를 초과하는 어닐링 온도에서 수행된다. P5. P1의 방법으로서, 상기 금속은 니켈 및 니켈 백금(nickel platinum)으로 구성된 군 중에서 선택된 어느 하나이다. P6. P1의 방법으로서, 금속을 증착하는 상기 단계 이후에 상기 트렌치를 과충전하는 제 2 금속 증착이 수행되어 상기 트렌치 상부에서의 상기 제 2 금속의 두께가 상기 트렌치 하부에서의 소정 두께의 몇 배가 되는 두께를 갖도록 한다. P7. P1의 방법으로서, 금속을 증착하는 상기 단계 이후에 상기 트렌치를 과충전하는 제 2 금속 증착이 수행되어 상기 트렌치 상부에서의 상기 제 2 금속의 두께가 상기 트렌치 하부에서의 소정 두께의 3 배를 초과하는 두께를 갖도록 한다. P8. P1의 방법으로서, 제 2 금속을 증착하는 상기 방법은 상기 (제 1 금속의) 실리사이드 형성부를 형성한 다음 상기 트렌치 내에서 구리를 형성하는 단계를 포함한다.
Q1. 광 구조의 형성 방법으로서, 하부 및 상부 접점을 갖는 광 검출기를 형성하는 단계; 상기 상부 접점 위에 트렌치를 형성하는 유전층을 형성하는 단계; 상기 트렌치 내에서 알루미늄 금속 배선층을 형성하는 단계;를 포함하며, 상기 알루미늄 금속 배선층은 상기 상부 접점과 연통한다. Q2. Q1의 방법으로서, 상기 방법은 상기 알루미늄 금속 배선층이 단말층(termination layer)을 형성하도록 상기 알루미늄 금속 배선층을 처리하는 단계를 포함한다. Q3. Q1의 방법으로서, 상기 방법은 상기 금속 배선층을 형성하기 위한 다마신 공정을 사용하는 단계를 포함하며, 또한 상기 방법은 상기 알루미늄 금속 배선층이 구리 도전성 물질 형성부 위에 알루미늄 단말을 형성하도록 수행된다. Q4. Q1의 방법으로서, 알루미늄 금속 배선층을 형성하는 상기 단계는 물리 기상 증착법(PVD, physical vapor deposition), 화학 기상 증착법(CVD, chemical vapor deposition), 및 증발법(evaporation)으로 구성된 군 중에서 선택된 어느 하나의 공정을 사용하여 알루미늄을 증착하는 단계를 포함한다. Q5. Q1의 방법으로서, 상기 방법은 상기 알루미늄 금속 배선층을 치밀하게 하고, 재유동하고, 또는 재결정화하도록 저온 어닐링을 수행하는 단계를 포함한다. Q6. Q1의 방법으로서, 상기 방법은 기존의 (Cu) 금속 배선 형성부와 호환 가능한 중간 온도의 알루미늄 금속 배선화를 수행하는 단계를 포함하고 있다. Q7. Q1의 방법으로서, 상기 방법은 접점 패드를 형성하도록 상기 알루미늄 금속 배선층을 처리하는 단계를 포함한다. Q8. Q1의 방법으로서, 상기 방법은 상기 알루미늄 금속 배선층이 평 배선 어셈블리를 형성하도록 상기 알루미늄 금속 배선층을 평탄화하는 단계를 포함한다. Q9. Q1의 방법으로서, 상기 방법은 이중 패터닝 및 단일 충전/평탄화 공정을 수행하는 단계를 포함하며, 여기에서 상기 알루미늄 금속 배선층은 상기 트렌치 및 상기 트렌치 아래의 비아(via)를 동시에 충전하며, 또한 상기 방법은 상기 알루미늄 금속 배선층을 평탄화하는 단계를 포함한다.
R1. 광 구조의 제조 방법으로서, 도파 물질층 위에 하드 마스크 물질 스택을 형성하는 단계; 상기 하드 마스크 물질 스택 위에 유기 리소그래피 물질 스택을 증착하는 단계; 및 상기 유기 리소그래피 물질 스택을 패터닝하는 단계;를 포함하며, 상기 패터닝 단계는 상기 하드 마스크 물질 스택에서 중지하는 단계를 포함한다. R2. R1의 방법으로서, 상기 하드 마스크 물질 스택은 실리콘 다이옥사이드(silicon dioxide)를 포함한다. R3. R1의 방법으로서, 상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 광 형상을 패터닝하는 단계를 포함한다. R4. R1의 방법으로서, 상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하며, 또한 상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함한다. R5. R1의 방법으로서, 상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하고, 상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함하고, 상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 광 형상을 패터닝하는 단계를 포함하며, 또한 상기 세정 단계는 상기 패터닝 단계에 이어서 수행된다.
S1. 광 구조의 제조 방법으로서, 제 1 광층 내에 일련의 제 1 광 형상을 패터닝하는 단계로서, 상기 제 1 광층이 제 1 도파 물질로 형성되어 있는 단계; 및 상기 일련의 제 1 광 형상 주위에 유전층을 형성하는 단계;를 포함하며, 상기 유전층의 유전 물질은 플라즈마 강화 산화물(oxide)을 포함한다. S2. S1의 방법으로서, 상기 형성 단계는 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용하는 단계를 포함하며, 상기 방법은 하나 이상의 추가 도파층에 대해서 수정된 유전 격리 거리를 제공하도록 상기 유전층 위에 제 2 유전층을 형성하는 단계를 포함한다. S3. S1의 방법으로서, 상기 형성 단계는 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용하는 단계를 포함한다. S4. S1의 방법으로서, 상기 형성 단계는 상기 제 1 광층 위에 플라즈마 강화 산화물을 형성하여 형상의 에지에 근접한 수직면 상의 증착 속도는 억제하면서 수평면 상의 상기 플라즈마 강화 산화물은 우선적으로 증착되도록 하며, 그 결과 전체적으로 비등각성 구조의 필름이 증착되는 단계를 포함한다. S5. S1의 방법으로서, 상기 형성 단계는 최소 배선폭의 갭(gap)에 보이드가 최소화되어 충전되는 방식으로 비등각성 산화물을 증착하기 위한 공정 조건을 적용하는 단계를 포함한다. S6. S1의 방법으로서, 상기 방법은 추가층에 대한 평탄화 처리를 제공하기 위해서 상기 유전층을 평탄화하는 단계를 포함한다. S7. S1의 방법으로서, 상기 방법은 하나 이상의 추가 도파층에 대해서 수정된 유전 격리 거리를 제공하도록 상기 유전층 위에 제 2 유전층을 형성하는 단계를 포함한다.
T1. 광 구조의 제조 방법으로서, 복수의 광층을 형성하는 단계;를 포함하며, 상기 복수의 광층이 제 1 광층 및 제 2 광층을 포함하는 단계; 및 각각의 상기 제 1 광층 및 상기 제 2 광층이 하나 이상의 일련의 광 형상을 형성하도록 상기 제 1 광층 및 상기 제 2 광층을 패터닝하는 단계;를 포함한다. T2. T1의 방법으로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성된다. T3. T2의 방법으로서, 각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성된다. T4. T1의 방법으로서, 각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성된다. T5. T1의 방법으로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고 또한 서로 다른 높이에서 형성된다. T6. T1의 방법으로서, 상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고, 또한 상기 제 1 광층 및 상기 제 2 광층은 공통 높이에 있다. T7. T1의 방법으로서, 상기 제 1 광층의 하부 높이 및 상기 제 2 광층의 하부 높이는 공통 높이에 형성된다. T8. T1의 방법으로서, 상기 제 1 광층은 상기 제 2 광층 아래의 높이에 있다. T9. T1의 방법으로서, 상기 복수의 광층은 상기 제 1 광층, 상기 제 2 광층, 제 3 광층, 및 제 4 광층을 포함한다. T10. T1의 방법으로서, 상기 복수의 광층은 상기 제 1 광층, 상기 제 2 광층, 제 3 광층, 및 제 4 광층을 포함하며, 또한 각각의 상기 제 1 광층, 상기 제 2 광층, 상기 제 3 광층, 및 상기 제 4 광층은 서로 다른 높이에 형성된다.
U1. 광 구조의 제조 방법으로서, 광층을 형성하는 단계; 및 하나 이상의 일련의 광 형상을 형성하기 위해 상기 광층을 패터닝하는 단계;를 포함하며, 상기 방법은 (a) 서로 다른 최소 두께의 일련의 제 1 및 제 2 일련의 광 형상이 상기 광층 내에 형성되도록 수행되는 방법, 및 (b) 서로 다른 기하학적인 형상의 일련의 광 형상이 상기 광층에 의해서 형성되도록 수행되는 방법으로 구성된 군 중에서 선택된 하나 이상을 특징으로 한다. U2. U1의 방법으로서, 상기 광층은 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성된다.
V1. 광 구조에 있어서, 배선 어셈블리를 형성하는 도전성 물질 형성부를 갖는 배선 레벨;을 포함하고, 여기에서 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 및 광 형상을 형성하도록 패터닝된 도파층;을 포함하며, 상기 도파층은 상기 배선 레벨의 높이와 동일하거나 이를 초과하는 상기 광 구조의 높이에 형성된다. V2. V1의 광 구조로서, 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 1.3 ㎛ 내지 약 1.55 ㎛의 통신 파장 밴드 내의 파장에서 광(light)을 반사하도록 구성된다. V3. V1의 광 구조로서, 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 900 nm 내지 약 1600 nm의 파장 밴드 내의 파장에서 광을 반사하도록 구성된다. V4. V1의 광 구조로서, 상기 도파층은 실리콘 나이트라이드로 형성된다. V5. V1의 광 구조로서, 상기 도파층은 비정질 실리콘 및 다결정 실리콘으로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성된다. V6. V1의 광 구조로서, 상기 도파층은 플라즈마 강화 화학 기상 증착법을 사용하여 증착된 실리콘 나이트라이드로 형성된다. V7. V1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성된다. V8. V1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한 하나 이상의 일련의 광 형상을 형성하도록 패터닝된 제 1 패터닝 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함한다. V9. V1의 광 구조로서, 상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한 하나 이상의 일련의 광 형상을 형성하도록 패터닝된 제 1 패터닝 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함하며, 따라서 상기 제 2 영역은 상기 도전성 물질 형성부에 대한 보호층으로서 기능한다.
W1. 광 구조에 있어서, 제 1 광 형상; 제 2 광 형상; 제 3 광 형상;을 포함하며, 상기 제 1 광 형상, 상기 제 2 광 형상, 및 상기 제 3 광 형상은 공통 높이에 위치하며; 하나 이상의 상기 제 1 제 2, 또는 제 3 광 형상은 단결정 실리콘 이외의 물질로 형성된다. W2. W1의 광 구조로서, 각각의 상기 제 1 광 형상, 제 2 광 형상, 및 제 3 광 형상은 단결정 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 물질로 형성된다.
본 발명에서 사용된 용어는 특정한 실시예를 설명하기 위한 목적으로 사용되었으며 또한 한정하고자 의도하고 있지는 않다. 본 발명에서 사용된 바와 같이, 단수를 나타내는 '한', '하나' 및 특정함을 나타내는 '그' 또는 '상기'와 같은 표현은 맥락상 다른 방식으로 명확하게 지시하지 않는 이상 복수의 형태 또한 포함하도록 의도되었다. 또한 "포함하는"(및 '포함하는'을 의미하는 임의 형태, 예컨대 "포함하는" 및 "포함하고 있는"과 같은 표현), "가지는"(및 '가지는'을 의미하는 임의 형태, 예컨대 "가진", "갖는"과 같은 표현), "구비하는"(및 '구비하는'을 의미하는 임의 형태, 예컨대 '구비한', '구비하고 있는'과 같은 표현), 및 "내포하는"(contain)(및 '내포하는'을 의미하는 임의 형태, 예컨대 '내포한', '내포하고 있는'과 같은 표현) 등의 용어는 비제한적인 연결 동사임을 잘 알 것이다. 결과적으로, 하나 이상의 단계 또는 구성 요소를 "포함하고", "가지고", "구비하고" 또는 "내포하는" 어떤 방법 또는 디바이스는 이들 하나 이상의 단계 또는 구성 요소를 소유하고 있지만, 이는 단지 이들 하나 이상의 단계 또는 구성 요소만을 소유하는 것으로 제한하지는 않는다. 마찬가지로, 하나 이상의 형상을 "포함하고", "가지고", "구비하고" 또는 "내포하는" 어떤 방법의 단계 또는 디바이스의 구성 요소는 이들 하나 이상의 형상을 소유하고 있지만, 이는 단지 이들 하나 이상의 형상만을 소유하는 것으로 제한하지는 않는다. "에 의해서 형성되는"(defined by)이라는 용어의 형태는 하나의 구성 요소가 부분적으로 형성되는 경우의 관계 및 하나의 구성 요소가 완전하게 형성되는 경우의 관계를 포함한다. 본 명세서에 있어서, 예컨대, "제 1" 및 "제 2"와 같은 숫자 식별자는 임의적인 용어로서 각 구성 요소의 순서를 지정하지 않고 서로 다른 각 구성 요소를 지정한다. 뿐만 아니라, 특정한 방식으로 구성된 시스템 방법 또는 장치는 적어도 그와 같은 방식으로 구성되지만, 본 명세서에서 나열하지 않은 방식으로도 구성될 수 있다. 뿐만 아니라, 본 발명에서 특정 갯수의 구성 요소를 갖는 것으로 설명된 시스템 방법 또는 장치는 이 특정한 갯수의 미만의 구성 요소 또는 초과하는 갯수의 구성 요소를 갖도록 실시될 수 있다.
이하의 청구 범위에서 모든 기능식 청구항의 구성 요소의 대응하는 구조, 물질, 방법, 작용, 및 이의 등가물은, 있다면, 청구 범위에서 구체적으로 청구하고 있는 바와 같이 청구 범위의 다른 구성 요소와 조합하여 해당 기능을 수행하기 위해서 임의의 구조, 물질, 또는 방법을 포함하도록 의도되었다. 본 발명의 설명은 도시 및 기술의 목적으로 제공되었지만, 개시된 형태로 본 발명을 제한하거나 한정하고자 의도되지는 않았다.
본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 범위 및 정신으로부터 이탈하지 않고도 다양한 변경 및 변형을 가할 수 있음을 잘 알 것이다. 본 발명의 실시예는 본 발명 및 실제 실시의 하나 이상의 양태의 원칙을 가장 잘 설명하도록, 또한 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 다른 자가 예정된 특정 사용에 적합한 것으로서 다양한 변경과 함께 다양한 실시예에 대한 본 발명의 하나 이상의 양태를 이해할 수 있도록 선택되고 또한 설명되었다.
Claims (80)
- 광 검출기 구조의 제조 방법에 있어서,
실리콘 위에 유전 물질을 형성하는 단계;
상기 실리콘까지 연장되는 트렌치를 상기 유전 물질에 식각하는 단계;
상기 트렌치 내에서 게르마늄을 에피택시얼 성장시키는 단계;
상기 에피택시얼 성장하여 형성된 게르마늄을 어닐링하는 단계;
상기 게르마늄이 상기 트렌치를 과충전하기 전까지 상기 에피택시얼 성장 및 상기 어닐링을 반복하는 단계;
상기 게르마늄의 과충전부를 평탄화하는 단계; 및
도핑 및 금속 배선 기법을 사용하여 상부 및 하부 접점을 생성하는 단계;를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 에피택시얼 성장 단계는 게르마늄이 상기 실리콘 상에 형성되도록 수행되는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 에피택시얼 성장 단계는 상기 광 검출기 구조가 상기 실리콘에 인접하여 저온 SiGe 또는 Ge 버퍼 구조를 갖지 않도록 수행되는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 게르마늄의 에피택시얼 성장 단계는 도핑 가스를 사용하지 않고 수행하여 상기 에피택시얼 성장 단계에 의해서 진성(intrinsic) 게르마늄이 형성되도록 하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 게르마늄의 에피택시얼 성장 단계는 도펀트(dopant) 전구체를 사용하여 수행되어 상기 에피택시얼 성장 단계에 의해서 현장 도핑되는 게르마늄이 형성되는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 에피택시얼 성장 단계는 섭씨 약 550 내지 약 850 도 범위 내에서의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 섭씨 약 650 도 내지 섭씨 약 850 도 사이 온도에서의 어닐링하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 에피택시얼 성장 단계는 전구체 및 캐리어 가스로서 저메인(GeH4, germane), 및 H2를 사용하여 약 10 Torr 내지 약 300 Torr 범위 내의 압력에서 섭씨 약 550 내지 약 850 도 범위 내의 온도에서 에피택시얼 성장을 수행하는 단계를 포함하며, 또한 상기 어닐링 단계는 약 100 Torr 내지 약 600 Torr 사이의 압력에서 섭씨 약 650 도 내지 섭씨 약 850 도 사이의 온도에서 어닐링하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 성장 단계는 유기물 및 금속 오염물 및 자연 산화물(native oxide)을 제거하기 위한 현장외 습식 화학 세정 공정 및 현장 건식 세정 공정 이후에 수행되는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 성장 단계는 표면의 아화학양론적인(sub-stoichiometric) 실리콘 옥사이드를 제거하기 위해서 환원성 H2 분위기에서의 현장 열 처리 이후에 추가적으로 수행되는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 방법은 얕은 상부 접점 도핑 영역을 형성하는 단계 및 캡 산화물(capping oxide)을 증착하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 도핑 영역을 형성하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 방법은 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 도핑 영역을 형성하여 상기 게르마늄의 둘레부와 도핑 영역의 둘레부 사이에 이격 거리가 형성되도록 하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 방법은 임계 거리 이상의 이격 거리에 의해서 산화물 트렌치로부터 이격되어 있는 감소된 영역의 얕은 상부 도핑 영역을 형성하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 방법은 상부 도핑 영역 내에 완전하게 포함되는 감소된 영역의 상부 금속 접점을 형성하는 단계를 포함하는,
광 검출기 구조의 제조 방법. - 청구항 1에 있어서,
상기 광 구조는 상기 실리콘 및 상기 게르마늄 형성부 사이에 저온 SiGe 또는 Ge 버퍼를 갖지 않는,
광 검출기 구조의 제조 방법. - 광 구조에 있어서,
실리콘 위에 형성되는 유전 물질;
상기 유전 물질에 형성되어 상기 실리콘까지 연장되는 트렌치;
상기 트렌치 내에 형성된 게르마늄 형성부; 및
도핑 영역;을 포함하며,
상기 도핑 영역은 상기 도핑 영역이 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되도록 상기 게르마늄 형성부의 일 영역 내에 형성되어 있는,
광 구조. - 청구항 17에 있어서,
상기 도핑 영역의 전체 둘레부는 임계 거리 이상의 이격 거리에 의해서 상기 트렌치로부터 이격되어 있는,
광 구조. - 청구항 17에 있어서,
상기 임계 거리는 (a) 200 nm 내지 1000 nm 및 (b) 750 nm로 구성된 군 중에서 선택된 어느 하나인,
광 구조. - 청구항 17에 있어서,
상기 임계 거리는 750 nm인,
광 구조. - 청구항 17에 있어서,
접점을 더 포함하며, 상기 접점은 상기 접점이 임계 거리 이상의 이격 거리에 의해서 상기 도핑 영역의 둘레부로부터 이격되도록 상기 도핑 영역 중의 일 영역 내의 상기 도핑 영역 상에 형성되어 있는,
광 구조. - 청구항 17에 있어서,
접점을 더 포함하며, 상기 접점은 상기 접점의 전체 둘레부가 임계 거리 이상의 이격 거리에 의해서 상기 도핑 영역의 둘레부로부터 이격되도록 상기 도핑 영역 중의 일 영역 내의 상기 도핑 영역 상에 형성되어 있는,
광 구조. - 광 구조에 있어서,
도핑 영역을 갖는 실리콘;
상기 실리콘에 의해서 전달되는 광(light)을 수신하도록 구성된 게르마늄 형성부;
상기 게르마늄 형성부 상에 형성되는 반대로 도핑된 도핑 영역;
상기 실리콘의 상기 도핑 영역 상에 형성되는 실리사이드 형성부;
상기 실리사이드 형성부 상에 형성되는 도전성 물질 형성부; 및
상기 게르마늄 형성부 상에 형성되는 도전성 물질 형성부;를 포함하는,
광 구조. - 청구항 23에 있어서,
상기 게르마늄 형성부 상에 형성된 상기 도전성 물질 형성부는 게르마늄 화합물(germanide)이 없는 (내화성) 도전성 물질 형성부인,
광 구조. - 광 구조의 제조 방법으로서,
실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드 도파 물질로 형성된 층을 증착하는 단계; 및
광 형상을 형성하기 위해서 실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드 도파 물질로 형성된 상기 층을 패터닝하는 단계;를 포함하며,
상기 증착 단계는 플라즈마 강화 화학 기상 증착법을 포함하는,
광 구조의 제조 방법. - 청구항 25에 있어서,
상기 방법은 하나 이상의 오염물, 개재물, 보이드(void), 또는 비화학 양론적인 산물을 수정하기 위해 실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드 도파 물질로 형성된 상기 층의 처리를 수행하는 단계를 포함하며,
상기 처리는 열 어닐링(thermal annealing) 및 방사 노광(exposure to radiation)으로 구성된 군 중에서 선택된 어느 하나인,
광 구조의 제조 방법. - 청구항 25에 있어서,
상기 방법은 실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드 도파 물질로 형성된 상기 층을 평탄화하는 단계 및 평활화하는 단계를 더 포함하는,
광 구조의 제조 방법. - 청구항 25에 있어서,
상기 광 형상 위에 비등각 고종횡비(non-conformal high-aspect-ratio) 갭 충전 유전 물질을 증착하는 단계를 더 포함하는,
광 구조의 제조 방법. - 방법으로서,
트렌치 내에 금속을 증착하는 단계로서, 상기 트렌치가 실리콘으로 형성된 하부 및 유전 물질로 형성된 측벽을 가지고 있는 단계;
실리사이드 형성부의 어닐링을 수행하는 단계로서, 상기 실리콘과 반응하는 금속이 상기 트렌치의 상기 하부에서 실리사이드 형성부를 형성하도록 하는 단계; 및
상변태 단계 어닐링을 수행하여 상기 실리사이드 형성부가 낮은 비저항 상(phase)으로 상변태되도록 하는 단계;를 포함하는,
방법. - 청구항 29에 있어서,
금속의 상기 증착 단계는 상기 측벽 상에 미반응 금속이 형성되며, 또한
상기 방법은 실리사이드 형성부의 어닐링을 수행하기 전에 상기 미반응 금속 위에 캡층을 형성하는 단계를 포함하는,
방법. - 청구항 29에 있어서,
금속의 상기 증착 단계는 상기 측벽 상에 미반응 금속이 형성되며,
상기 방법은 실리사이드 형성부의 어닐링을 수행하기 전에 상기 미반응 금속 위에 캡층을 형성하는 단계를 포함하며, 또한
상기 방법은 상기 상변태 단계 어닐링을 수행하는 단계 이전에 상기 캡층 및 상기 미반응 금속을 제거하는 단계를 포함하는,
방법. - 청구항 29에 있어서,
상기 상변태 단계 어닐링을 수행하는 단계는 상기 실리사이드 형성부의 어닐링 온도를 초과하는 어닐링 온도에서 수행되는,
방법. - 청구항 29에 있어서,
상기 금속은 니켈 및 니켈 백금(nickel platinum)으로 구성된 군 중에서 선택된 어느 하나인,
방법. - 청구항 29에 있어서,
상기 제 1 금속의 상기 증착, 어닐링, 및 제거 이후에 제 2 금속을 증착하여 상기 트렌치를 과충전함으로써 상기 트렌치 상부에서의 상기 제 2 금속의 두께가 상기 트렌치 하부에서의 소정 두께의 몇 배가 되는 두께를 가지도록 하는,
방법. - 청구항 29에 있어서,
상기 제 1 금속의 상기 증착, 어닐링, 및 제거 이후에 제 2 금속을 증착하여 상기 트렌치를 과충전함으로써 상기 트렌치 상부에서의 상기 제 2 금속의 두께가 상기 트렌치 하부에서의 소정 두께의 3 배를 초과하는 두께를 가지도록 하는,
방법. - 청구항 29에 있어서,
상기 제 1 제 2 금속을 증착하고, 어닐링하고, 또한 제거하는 상기 방법은 상기 (제 1 금속의) 실리사이드 형성부를 형성한 다음 상기 트렌치 내에서 구리를 형성하는 단계를 포함하는,
방법. - 광 구조의 형성 방법으로서,
하부 및 상부 접점을 갖는 광 검출기를 형성하는 단계;
상기 상부 접점 위에 트렌치를 형성하는 유전층을 형성하는 단계;
상기 트렌치 내에서 알루미늄 금속 배선층을 형성하는 단계;를 포함하며,
상기 알루미늄 금속 배선층은 상기 상부 접점과 연통되어 있는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 상기 알루미늄 금속 배선층이 단말층(termination layer)을 형성하도록 상기 알루미늄 금속 배선층을 처리하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 상기 금속 배선층을 형성하기 위한 다마신 공정을 사용하는 단계를 포함하며, 또한
상기 방법은 상기 알루미늄 금속 배선층이 구리 도전성 물질 형성부 위에 알루미늄 단말을 형성하도록 수행되는,
광 구조의 형성 방법. - 청구항 37에 있어서,
알루미늄 금속 배선층을 형성하는 상기 단계는 물리 기상 증착법(PVD, physical vapor deposition), 화학 기상 증착법(CVD, chemical vapor deposition), 및 증발법(evaporation)으로 구성된 군 중에서 선택된 어느 하나의 공정을 사용하여 알루미늄을 증착하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 상기 알루미늄 금속 배선층을 치밀하게 하고, 재유동하고, 또는 재결정화하도록 저온 어닐링을 수행하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 기존의 (Cu) 금속 배선 형성부와 호환 가능한 중간 온도의 알루미늄 금속 배선화를 수행하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 접점 패드를 형성하도록 상기 알루미늄 금속 배선층을 처리하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 상기 알루미늄 금속 배선층이 평 배선 어셈블리를 형성하도록 상기 알루미늄 금속 배선층을 평탄화하는 단계를 포함하는,
광 구조의 형성 방법. - 청구항 37에 있어서,
상기 방법은 이중 패터닝 및 단일 충전/평탄화 공정을 수행하는 단계를 포함하며, 여기에서 상기 알루미늄 금속 배선층은 상기 트렌치 및 상기 트렌치 아래의 비아(via)를 동시에 충전하며, 또한
상기 방법은 상기 알루미늄 금속 배선층을 평탄화하는 단계를 포함하는,
광 구조의 형성 방법. - 광 구조의 제조 방법으로서,
도파 물질층 위에 하드 마스크 물질 스택을 형성하는 단계;
상기 하드 마스크 물질 스택 위에 유기 리소그래피 물질 스택을 증착하는 단계; 및
상기 유기 리소그래피 물질 스택을 패터닝하는 단계;를 포함하며,
상기 패터닝 단계는 상기 하드 마스크 물질 스택에서 중지하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 46에 있어서,
상기 하드 마스크 물질 스택은 실리콘 다이옥사이드(silicon dioxide)를 포함하는,
광 구조의 제조 방법. - 청구항 46에 있어서,
상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 광 형상을 패터닝하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 46에 있어서,
상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하며, 또한
상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 46에 있어서,
상기 패터닝 단계는 반응성 이온 식각법을 이용하는 단계를 포함하고,
상기 방법은 상기 반응성 이온 식각법에 의해서 형성된 잔류물을 세정하는 단계를 포함하고,
상기 방법은 상기 유기 리소그래피 물질 스택을 사용하여 상기 도파 물질층 내에 광 형상을 패터닝하는 단계를 포함하며, 또한
상기 세정 단계는 상기 패터닝 단계에 이어서 수행되는,
광 구조의 제조 방법. - 광 구조의 제조 방법으로서,
제 1 광층 내에 일련의 제 1 광 형상을 패터닝하는 단계로서, 상기 제 1 광층이 제 1 도파 물질로 형성되어 있는 단계; 및
상기 일련의 제 1 광 형상 주위에 유전층을 형성하는 단계;를 포함하며,
상기 유전층의 유전 물질은 플라즈마 강화 산화물(oxide)을 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 형성 단계는 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용하는 단계를 포함하며,
상기 방법은 하나 이상의 추가 도파층에 대해서 수정된 유전 격리 거리를 제공하도록 상기 유전층 위에 제 2 유전층을 형성하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 형성 단계는 플라즈마 강화 화학 기상 증착법(PECVD, plasma enhanced chemical vapor deposition)을 사용하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 형성 단계는 상기 제 1 광층 위에 플라즈마 강화 산화물을 형성하여 형상의 에지에 근접한 수직면 상의 증착 속도는 억제하면서 수평면 상의 상기 플라즈마 강화 산화물은 우선적으로 증착되도록 하며, 그 결과 전체적으로 비등각성 구조의 필름이 증착되는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 형성 단계는 최소 배선폭의 갭(gap)에 보이드가 최소화되어 충전되는 방식으로 비등각성 산화물을 증착하기 위한 공정 조건을 적용하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 방법은 추가층에 대한 평탄화 처리를 제공하기 위해서 상기 유전층을 평탄화하는 단계를 포함하는,
광 구조의 제조 방법. - 청구항 51에 있어서,
상기 방법은 하나 이상의 추가 도파층에 대해서 수정된 유전 격리 거리를 제공하도록 상기 유전층 위에 제 2 유전층을 형성하는 단계를 포함하는,
광 구조의 제조 방법. - 광 구조의 제조 방법으로서,
복수의 광층을 형성하는 단계;를 포함하며,
상기 복수의 광층이 제 1 광층 및 제 2 광층을 포함하는 단계; 및
각각의 상기 제 1 광층 및 상기 제 2 광층이 하나 이상의 일련의 광 형상을 형성하도록 상기 제 1 광층 및 상기 제 2 광층을 패터닝하는 단계;를 포함하는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되는,
광 구조의 제조 방법. - 청구항 59에 있어서,
각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는,
광 구조의 제조 방법. - 청구항 58에 있어서,
각각의 상기 제 1 광층 및 상기 제 2 광층은 결정성 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고 또한 서로 다른 높이에서 형성되는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 제 1 광층 및 상기 제 2 광층은 서로 다른 도파 물질로 형성되고, 또한 상기 제 1 광층 및 상기 제 2 광층은 공통 높이에 있는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 제 1 광층의 하부 높이 및 상기 제 2 광층의 하부 높이는 공통 높이에 형성되는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 제 1 광층은 상기 제 2 광층 아래의 높이에 있는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 복수의 광층은 상기 제 1 광층, 상기 제 2 광층, 제 3 광층, 및 제 4 광층을 포함하는,
광 구조의 제조 방법. - 청구항 58에 있어서,
상기 복수의 광층은 상기 제 1 광층, 상기 제 2 광층, 제 3 광층, 및 제 4 광층을 포함하며, 또한
각각의 상기 제 1 광층, 상기 제 2 광층, 상기 제 3 광층, 및 상기 제 4 광층은 서로 다른 높이에 형성되는,
광 구조의 제조 방법. - 광 구조의 제조 방법으로서,
광층을 형성하는 단계; 및
하나 이상의 일련의 광 형상을 형성하기 위해 상기 광층을 패터닝하는 단계;를 포함하며,
상기 방법은 (a) 서로 다른 최소 두께의 일련의 제 1 및 제 2 일련의 광 형상이 상기 광층 내에 형성되도록 수행되는 방법, 및 (b) 서로 다른 기하학적인 형상의 일련의 광 형상이 상기 광층에 의해서 형성되도록 수행되는 방법으로 구성된 군 중에서 선택된 하나 이상을 특징으로 하는,
광 구조의 제조 방법. - 청구항 68에 있어서,
상기 광층은 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는,
광 구조의 제조 방법. - 광 구조에 있어서,
배선 어셈블리를 형성하는 도전성 물질 형성부를 갖는 배선 레벨;을 포함하고,
여기에서 상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 및
광 형상을 형성하도록 패터닝된 도파층;을 포함하며,
상기 도파층은 상기 배선 레벨의 높이와 동일하거나 이를 초과하는 상기 광 구조의 높이에 형성되는,
광 구조. - 청구항 70에 있어서,
상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 1.3 ㎛ 내지 약 1.55 ㎛의 통신 파장 밴드 내의 파장에서 광(light)을 반사하도록 구성되는,
광 구조. - 청구항 70에 있어서,
상기 도전성 물질 형성부는 금속 배선 물질로 형성되며, 이 금속 배선 물질은 약 900 nm 내지 약 1600 nm의 파장 밴드 내의 파장에서 광을 반사하도록 구성되는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드로 형성되는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 비정질 실리콘 및 다결정 실리콘으로 구성된 군 중에서 선택된 어느 하나의 도파 물질로 형성되는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 플라즈마 강화 화학 기상 증착법을 사용하여 증착된 실리콘 나이트라이드 또는 실리콘 옥시 나이트라이드로 형성되는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한
하나 이상의 일련의 광 형상을 형성하도록 패터닝된 제 1 패터닝 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함하는,
광 구조. - 청구항 70에 있어서,
상기 도파층은 상기 배선 레벨의 높이를 초과하는 높이에 형성되고 또한
하나 이상의 일련의 광 형상을 형성하도록 패터닝된 제 1 패터닝 영역 및 상기 도전성 물질 형성부와 정렬된 제 2 영역을 포함하며, 따라서 상기 제 2 영역은 상기 도전성 물질 형성부에 대한 보호층으로서 기능하는,
광 구조. - 광 구조에 있어서,
제 1 광 형상;
제 2 광 형상;
제 3 광 형상;을 포함하며,
상기 제 1 광 형상, 상기 제 2 광 형상, 및 상기 제 3 광 형상은 공통 높이에 위치하며;
하나 이상의 상기 제 1 제 2, 또는 제 3 광 형상은 단결정 실리콘 이외의 물질로 형성되는,
광 구조. - 청구항 79에 있어서,
각각의 상기 제 1 광 형상, 제 2 광 형상, 및 제 3 광 형상은 단결정 실리콘, 다결정 실리콘, 비정질 실리콘, 실리콘 나이트라이드, 및 실리콘 옥시 나이트라이드(silicon oxynitride)로 구성된 군 중에서 선택된 어느 하나의 물질로 형성되는,
광 구조.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562099848P | 2015-01-05 | 2015-01-05 | |
US62/099,848 | 2015-01-05 | ||
PCT/US2016/012183 WO2016137576A2 (en) | 2015-01-05 | 2016-01-05 | Integrated photonics including waveguiding material |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20170117378A true KR20170117378A (ko) | 2017-10-23 |
Family
ID=56286908
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020177018212A KR20170117378A (ko) | 2015-01-05 | 2016-01-05 | 도파 물질을 포함하는 집적 포토닉스 |
Country Status (6)
Country | Link |
---|---|
US (5) | US10571631B2 (ko) |
EP (1) | EP3243221A4 (ko) |
KR (1) | KR20170117378A (ko) |
CN (1) | CN107408589A (ko) |
SG (2) | SG10202100628WA (ko) |
WO (1) | WO2016137576A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20200001557A (ko) * | 2018-06-27 | 2020-01-06 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 광 반도체 디바이스 및 방법 |
Families Citing this family (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102014115815B4 (de) * | 2014-10-30 | 2022-11-17 | Infineon Technologies Ag | Verfahren zur herstellung eines schaltungsträgers, verfahren zur herstellung einer halbleiteranordung, verfahren zum betrieb einer halbleiteranordnung und verfahren zur herstellung eines halbleitermoduls |
WO2016090250A1 (en) | 2014-12-04 | 2016-06-09 | The University Of North Carolina At Chapel Hill | Compositions and methods for preventing and treating graft versus host disease |
US10571631B2 (en) | 2015-01-05 | 2020-02-25 | The Research Foundation For The State University Of New York | Integrated photonics including waveguiding material |
US9786641B2 (en) | 2015-08-13 | 2017-10-10 | International Business Machines Corporation | Packaging optoelectronic components and CMOS circuitry using silicon-on-insulator substrates for photonics applications |
US9484373B1 (en) | 2015-11-18 | 2016-11-01 | Omnivision Technologies, Inc. | Hard mask as contact etch stop layer in image sensors |
US9671557B1 (en) * | 2016-03-04 | 2017-06-06 | Inphi Corporation | Vertical integration of hybrid waveguide with controlled interlayer thickness |
US10042115B2 (en) * | 2016-04-19 | 2018-08-07 | Stmicroelectronics (Crolles 2) Sas | Electro-optic device with multiple photonic layers and related methods |
JP2018049856A (ja) * | 2016-09-20 | 2018-03-29 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US10976491B2 (en) | 2016-11-23 | 2021-04-13 | The Research Foundation For The State University Of New York | Photonics interposer optoelectronics |
US10043787B2 (en) | 2017-01-10 | 2018-08-07 | International Business Machines Corporation | Optoelectronic chip embedded organic substrate |
US10698156B2 (en) | 2017-04-27 | 2020-06-30 | The Research Foundation For The State University Of New York | Wafer scale bonded active photonics interposer |
US10996451B2 (en) | 2017-10-17 | 2021-05-04 | Lumileds Llc | Nanostructured meta-materials and meta-surfaces to collimate light emissions from LEDs |
EP3776074B1 (en) | 2018-04-04 | 2023-11-22 | The Research Foundation for the State University of New York | Heterogeneous structure on an integrated photonics platform |
US10816724B2 (en) * | 2018-04-05 | 2020-10-27 | The Research Foundation For The State University Of New York | Fabricating photonics structure light signal transmission regions |
US10777430B2 (en) * | 2018-06-27 | 2020-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photonic integrated package and method forming same |
KR102601212B1 (ko) * | 2018-10-10 | 2023-11-10 | 삼성전자주식회사 | 광전 소자를 포함하는 집적 회로 소자 |
US11550099B2 (en) | 2018-11-21 | 2023-01-10 | The Research Foundation For The State University Of New York | Photonics optoelectrical system |
TWI829761B (zh) | 2018-11-21 | 2024-01-21 | 紐約州立大學研究基金會 | 具有積體雷射的光學結構 |
US11473191B2 (en) * | 2019-02-27 | 2022-10-18 | Applied Materials, Inc. | Method for creating a dielectric filled nanostructured silica substrate for flat optical devices |
WO2020256819A2 (en) * | 2019-06-18 | 2020-12-24 | The Research Foundation For The State University Of New York | Fabricating photonics structure conductive pathways |
US11079544B2 (en) | 2019-08-05 | 2021-08-03 | Globalfoundries U.S. Inc. | Waveguide absorbers |
US11749762B2 (en) * | 2019-10-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device comprising a photodetector with reduced dark current |
CN112750847B (zh) * | 2019-10-31 | 2024-10-18 | 台湾积体电路制造股份有限公司 | 半导体装置及其形成方法 |
US10983412B1 (en) * | 2019-11-05 | 2021-04-20 | Globalfoundries U.S. Inc. | Silicon photonic components fabricated using a bulk substrate |
CN113009623A (zh) * | 2019-12-19 | 2021-06-22 | 台湾积体电路制造股份有限公司 | 集成芯片及其形成方法 |
FR3105455A1 (fr) * | 2019-12-20 | 2021-06-25 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procédé de fabrication de guides d’onde |
CN111129201B (zh) * | 2019-12-27 | 2021-07-02 | 武汉光谷信息光电子创新中心有限公司 | 一种光电探测器 |
US11476289B2 (en) | 2020-04-07 | 2022-10-18 | Globalfoundries U.S. Inc. | Photodetector with buried airgap reflectors |
US11322639B2 (en) | 2020-04-09 | 2022-05-03 | Globalfoundries U.S. Inc. | Avalanche photodiode |
FR3109242B1 (fr) | 2020-04-10 | 2022-03-18 | Commissariat Energie Atomique | Procédé de fabrication d’une couche mixte comportant un guide d’onde en silicium et un guide d’onde en nitrure de silicium |
CN111522094B (zh) * | 2020-05-06 | 2021-01-19 | 贵阳学院 | 一种box形氮化硅波导及其制备方法 |
US11152520B1 (en) | 2020-05-07 | 2021-10-19 | Globalfoundries U.S. Inc. | Photodetector with reflector with air gap adjacent photodetecting region |
CN111596473B (zh) * | 2020-05-22 | 2021-02-12 | 联合微电子中心有限责任公司 | 制作半导体器件的方法、半导体器件和半导体集成电路 |
US11316064B2 (en) | 2020-05-29 | 2022-04-26 | Globalfoundries U.S. Inc. | Photodiode and/or PIN diode structures |
US11581450B2 (en) | 2020-06-11 | 2023-02-14 | Globalfoundries U.S. Inc. | Photodiode and/or pin diode structures with one or more vertical surfaces |
US11531159B2 (en) * | 2020-06-19 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Optical waveguide apparatus and method of fabrication thereof |
US11611002B2 (en) | 2020-07-22 | 2023-03-21 | Globalfoundries U.S. Inc. | Photodiode and/or pin diode structures |
US12015099B2 (en) | 2020-08-01 | 2024-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor sensor and methods thereof |
US11175452B1 (en) * | 2020-08-11 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photonic device and fabrication method thereof |
US11550102B2 (en) * | 2020-08-31 | 2023-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structures and methods for high speed interconnection in photonic systems |
US11424377B2 (en) | 2020-10-08 | 2022-08-23 | Globalfoundries U.S. Inc. | Photodiode with integrated, light focusing element |
US11320589B1 (en) | 2020-10-29 | 2022-05-03 | Globalfoundries U.S. Inc. | Grating couplers integrated with one or more airgaps |
US11204153B1 (en) | 2021-02-22 | 2021-12-21 | Lumileds Llc | Light-emitting device assembly with emitter array, micro- or nano-structured lens, and angular filter |
US11508888B2 (en) | 2021-02-22 | 2022-11-22 | Lumileds Llc | Light-emitting device assembly with emitter array, micro- or nano-structured lens, and angular filter |
US11502214B2 (en) | 2021-03-09 | 2022-11-15 | Globalfoundries U.S. Inc. | Photodetectors used with broadband signal |
US11639895B2 (en) | 2021-03-09 | 2023-05-02 | Globalfoundries U.S. Inc. | Device including optofluidic sensor with integrated photodiode |
US11803013B2 (en) * | 2021-04-02 | 2023-10-31 | Anello Photonics, Inc. | Seamless stitching for multi-reticle fabrication of integrated photonics optical components |
WO2022231641A1 (en) * | 2021-04-26 | 2022-11-03 | Futurewei Technologies, Inc. | Process integration solution for an optical component |
US11567277B1 (en) | 2021-09-13 | 2023-01-31 | Globalfoundries U.S. Inc. | Distributed Bragg reflectors including periods with airgaps |
FR3131014A1 (fr) | 2021-12-17 | 2023-06-23 | Commissariat à l'Energie Atomique et aux Energies Alternatives | Procédé de fabrication d’une puce photonique |
US11835764B2 (en) * | 2022-01-31 | 2023-12-05 | Globalfoundries U.S. Inc. | Multiple-core heterogeneous waveguide structures including multiple slots |
US11949034B2 (en) | 2022-06-24 | 2024-04-02 | Globalfoundries U.S. Inc. | Photodetector with dual doped semiconductor material |
EP4394463A1 (en) * | 2022-12-30 | 2024-07-03 | IHP GmbH - Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik | Semiconductor structure and method for fabricating a semiconductor structure |
Family Cites Families (182)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5761181A (en) * | 1996-02-06 | 1998-06-02 | Board Of Regents The University Of Texas System | Hyperspeed electro-optical digital data storage and retrieval system |
US5841931A (en) | 1996-11-26 | 1998-11-24 | Massachusetts Institute Of Technology | Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby |
EP0867701A1 (en) | 1997-03-28 | 1998-09-30 | Interuniversitair Microelektronica Centrum Vzw | Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer |
JP3783903B2 (ja) | 1997-11-07 | 2006-06-07 | 日本電信電話株式会社 | 半導体受光素子及びその製造方法 |
US6056630A (en) * | 1998-05-19 | 2000-05-02 | Lucent Technologies Inc. | Polishing apparatus with carrier head pivoting device |
US6048775A (en) * | 1999-05-24 | 2000-04-11 | Vanguard International Semiconductor Corporation | Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes |
US6635110B1 (en) | 1999-06-25 | 2003-10-21 | Massachusetts Institute Of Technology | Cyclic thermal anneal for dislocation reduction |
HUP0000532A2 (hu) * | 2000-02-07 | 2002-03-28 | Optilink Ab | Eljárás és rendszer információ rögzítésére holografikus kártyán |
US6879014B2 (en) | 2000-03-20 | 2005-04-12 | Aegis Semiconductor, Inc. | Semitransparent optical detector including a polycrystalline layer and method of making |
US6631225B2 (en) | 2000-07-10 | 2003-10-07 | Massachusetts Institute Of Technology | Mode coupler between low index difference waveguide and high index difference waveguide |
WO2002004999A2 (en) | 2000-07-10 | 2002-01-17 | Massachusetts Institute Of Technology | Graded index waveguide |
US7103245B2 (en) | 2000-07-10 | 2006-09-05 | Massachusetts Institute Of Technology | High density integrated optical chip |
US6850683B2 (en) | 2000-07-10 | 2005-02-01 | Massachusetts Institute Of Technology | Low-loss waveguide and method of making same |
AUPQ897600A0 (en) | 2000-07-25 | 2000-08-17 | Liddiard, Kevin | Active or self-biasing micro-bolometer infrared detector |
JP2002353205A (ja) * | 2000-08-28 | 2002-12-06 | Mitsubishi Electric Corp | 半導体装置の製造方法およびそれに用いられるウェハ処理装置並びに半導体装置 |
AU2002213212A1 (en) | 2000-10-13 | 2002-04-29 | Massachusetts Institute Of Technology | Optical waveguides with trench structures |
US6426547B1 (en) | 2000-12-12 | 2002-07-30 | Information Business Machines Corporation | Lateral polysilicon pin diode and method for so fabricating |
WO2002065553A1 (en) | 2001-02-09 | 2002-08-22 | Midwest Research Institute | Isoelectronic co-doping |
US6694082B2 (en) * | 2001-04-05 | 2004-02-17 | Lucent Technologies Inc. | Polycrystalline ferroelectric optical devices |
GB0122427D0 (en) * | 2001-09-17 | 2001-11-07 | Denselight Semiconductors Pte | Fabrication of stacked photonic lightwave circuits |
AU2002353969A1 (en) | 2001-11-01 | 2003-05-12 | Massachusetts Institute Of Technology | Arrayed waveguide grating |
KR100427581B1 (ko) * | 2002-02-21 | 2004-04-28 | 한국전자통신연구원 | 반도체 광소자의 제조방법 |
US6706576B1 (en) * | 2002-03-14 | 2004-03-16 | Advanced Micro Devices, Inc. | Laser thermal annealing of silicon nitride for increased density and etch selectivity |
WO2003087905A1 (en) | 2002-04-09 | 2003-10-23 | Massachusetts Institute Of Technology | Polysilane thin films for directly patternable waveguides |
US6855975B2 (en) | 2002-04-10 | 2005-02-15 | Micron Technology, Inc. | Thin film diode integrated with chalcogenide memory cell |
DE10217426B4 (de) | 2002-04-18 | 2006-09-14 | Forschungszentrum Jülich GmbH | Ortsauflösender Detektor für die Messung elektrisch geladener Teilchen und Verwendung des Detektors |
US7453132B1 (en) | 2002-06-19 | 2008-11-18 | Luxtera Inc. | Waveguide photodetector with integrated electronics |
US6887773B2 (en) | 2002-06-19 | 2005-05-03 | Luxtera, Inc. | Methods of incorporating germanium within CMOS process |
EP1516370A1 (en) | 2002-06-19 | 2005-03-23 | Massachusetts Institute Of Technology | Ge photodetectors |
FR2842022B1 (fr) | 2002-07-03 | 2005-05-06 | Commissariat Energie Atomique | Dispositif de maintien d'un objet sous vide et procedes de fabrication de ce dispositif, application aux detecteurs intrarouges non refroidis |
JP2004109888A (ja) * | 2002-09-20 | 2004-04-08 | Yasuo Kokubu | 光導波路及びその製造方法 |
CN1492517A (zh) * | 2002-10-21 | 2004-04-28 | 重庆科业光电有限公司 | 倒扣封装背照式光电探测器芯片制作方法 |
US7389029B2 (en) | 2003-07-03 | 2008-06-17 | Applied Research And Photonics, Inc. | Photonic waveguide structures for chip-scale photonic integrated circuits |
US7095010B2 (en) | 2002-12-04 | 2006-08-22 | California Institute Of Technology | Silicon on insulator resonator sensors and modulators and method of operating the same |
US7453129B2 (en) | 2002-12-18 | 2008-11-18 | Noble Peak Vision Corp. | Image sensor comprising isolated germanium photodetectors integrated with a silicon substrate and silicon circuitry |
US20060249753A1 (en) | 2005-05-09 | 2006-11-09 | Matrix Semiconductor, Inc. | High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes |
US7767499B2 (en) | 2002-12-19 | 2010-08-03 | Sandisk 3D Llc | Method to form upward pointing p-i-n diodes having large and uniform current |
US8564780B2 (en) * | 2003-01-16 | 2013-10-22 | Jordan Valley Semiconductors Ltd. | Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces |
JP2004259882A (ja) | 2003-02-25 | 2004-09-16 | Seiko Epson Corp | 半導体装置及びその製造方法 |
US7262117B1 (en) | 2003-06-10 | 2007-08-28 | Luxtera, Inc. | Germanium integrated CMOS wafer and method for manufacturing the same |
WO2004113977A1 (en) | 2003-06-16 | 2004-12-29 | Massachusetts Institute Of Technology | Multiple oxidation and etch smoothing method for reducing silicon waveguide roughness |
US7205525B2 (en) | 2003-09-05 | 2007-04-17 | Analog Devices, Inc. | Light conversion apparatus with topside electrode |
DE602004016679D1 (de) | 2003-10-13 | 2008-10-30 | Noble Peak Vision Corp | Mit einem siliziumsubstrat und einem siliziumschaltkreis integrierte isolierte germanium-photodetektoren umfassender bildsensor |
US7262140B2 (en) | 2003-11-24 | 2007-08-28 | Intel Corporation | Method of smoothing waveguide structures |
US7773836B2 (en) | 2005-12-14 | 2010-08-10 | Luxtera, Inc. | Integrated transceiver with lightpipe coupler |
US7251386B1 (en) | 2004-01-14 | 2007-07-31 | Luxtera, Inc | Integrated photonic-electronic circuits and systems |
US20050185884A1 (en) | 2004-01-23 | 2005-08-25 | Haus Hermann A. | Single-level no-crossing microelectromechanical hitless switch for high density integrated optics |
US7138697B2 (en) | 2004-02-24 | 2006-11-21 | International Business Machines Corporation | Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector |
US20050220984A1 (en) * | 2004-04-02 | 2005-10-06 | Applied Materials Inc., A Delaware Corporation | Method and system for control of processing conditions in plasma processing systems |
US20060140239A1 (en) | 2004-04-23 | 2006-06-29 | Negro Luca D | Silicon rich nitride CMOS-compatible light sources and Si-based laser structures |
CA2573928A1 (en) | 2004-06-17 | 2006-01-19 | Ion Optics, Inc. | Photonic crystal emitter, detector, and sensor |
US7340709B1 (en) | 2004-07-08 | 2008-03-04 | Luxtera, Inc. | Method of generating a geometrical rule for germanium integration within CMOS |
US7397101B1 (en) | 2004-07-08 | 2008-07-08 | Luxtera, Inc. | Germanium silicon heterostructure photodetectors |
US7723754B2 (en) | 2004-07-28 | 2010-05-25 | Massachusetts Institute Of Technology | Ge photodetectors |
US7194166B1 (en) | 2004-08-26 | 2007-03-20 | Luxtera, Inc. | Use of waveguide grating couplers in an optical mux/demux system |
WO2006034271A1 (en) | 2004-09-17 | 2006-03-30 | Massachusetts Institute Of Technology | Silicon based on-chip photonic band gap cladding waveguide |
US7157300B2 (en) | 2004-11-19 | 2007-01-02 | Sharp Laboratories Of America, Inc. | Fabrication of thin film germanium infrared sensor by bonding to silicon wafer |
US7358527B1 (en) | 2005-02-03 | 2008-04-15 | Luxtera, Inc. | Systems and methods for testing germanium devices |
US7008813B1 (en) | 2005-02-28 | 2006-03-07 | Sharp Laboratories Of America, Inc.. | Epitaxial growth of germanium photodetector for CMOS imagers |
US7186611B2 (en) | 2005-02-28 | 2007-03-06 | Sharp Laboratories Of America, Inc. | High-density germanium-on-insulator photodiode array |
US20060250836A1 (en) | 2005-05-09 | 2006-11-09 | Matrix Semiconductor, Inc. | Rewriteable memory cell comprising a diode and a resistance-switching material |
US7812404B2 (en) | 2005-05-09 | 2010-10-12 | Sandisk 3D Llc | Nonvolatile memory cell comprising a diode and a resistance-switching material |
FR2885690B1 (fr) | 2005-05-12 | 2007-06-15 | Commissariat Energie Atomique | Detecteur thermique de rayonnements electromagnetiques et dispositif de detection infrarouge mettant en oeuvre de tels detecteurs |
CN100372129C (zh) | 2005-07-28 | 2008-02-27 | 浙江大学 | 锗硅肖特基二极管的制作方法 |
CN100372128C (zh) | 2005-07-28 | 2008-02-27 | 浙江大学 | 一种锗硅肖特基二极管及其制作方法 |
CN2826699Y (zh) | 2005-07-28 | 2006-10-11 | 浙江大学 | 锗硅肖特基二极管 |
US7801406B2 (en) | 2005-08-01 | 2010-09-21 | Massachusetts Institute Of Technology | Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth |
CN100385686C (zh) | 2005-08-30 | 2008-04-30 | 浙江大学 | 一种多晶锗硅肖特基二极管及其制备方法 |
US7358107B2 (en) | 2005-10-27 | 2008-04-15 | Sharp Laboratories Of America, Inc. | Method of fabricating a germanium photo detector on a high quality germanium epitaxial overgrowth layer |
US7305157B2 (en) | 2005-11-08 | 2007-12-04 | Massachusetts Institute Of Technology | Vertically-integrated waveguide photodetector apparatus and related coupling methods |
US7266263B2 (en) | 2005-11-08 | 2007-09-04 | Massachusetts Institute Of Technology | Integrated waveguide photodetector apparatus with matching propagation constants and related coupling methods |
US7811913B2 (en) | 2005-12-19 | 2010-10-12 | Sharp Laboratories Of America, Inc. | Method of fabricating a low, dark-current germanium-on-silicon pin photo detector |
SE529855C2 (sv) | 2005-12-30 | 2007-12-11 | Sandvik Intellectual Property | Belagt hårdmetallskär och sätt att tillverka detta |
US20070170536A1 (en) | 2006-01-25 | 2007-07-26 | Sharp Laboratories Of America, Inc. | Liquid phase epitaxial GOI photodiode with buried high resistivity germanium layer |
US7459686B2 (en) | 2006-01-26 | 2008-12-02 | L-3 Communications Corporation | Systems and methods for integrating focal plane arrays |
US7480430B2 (en) | 2006-02-08 | 2009-01-20 | Massachusetts Institute Of Technology | Partial confinement photonic crystal waveguides |
US7508050B1 (en) | 2006-03-16 | 2009-03-24 | Advanced Micro Devices, Inc. | Negative differential resistance diode and SRAM utilizing such device |
US7700975B2 (en) | 2006-03-31 | 2010-04-20 | Intel Corporation | Schottky barrier metal-germanium contact in metal-germanium-metal photodetectors |
US7501331B2 (en) | 2006-03-31 | 2009-03-10 | Sandisk 3D Llc | Low-temperature metal-induced crystallization of silicon-germanium films |
US7875871B2 (en) | 2006-03-31 | 2011-01-25 | Sandisk 3D Llc | Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride |
RU2308788C1 (ru) | 2006-03-31 | 2007-10-20 | Федеральное государственное унитарное предприятие "НПО "ОРИОН" ФГУП "НПО "ОРИОН" | Способ сборки фотоприемников на основе сернистого свинца с применением метода полимерной герметизации |
US7566875B2 (en) | 2006-04-13 | 2009-07-28 | Integrated Micro Sensors Inc. | Single-chip monolithic dual-band visible- or solar-blind photodetector |
US7613369B2 (en) | 2006-04-13 | 2009-11-03 | Luxtera, Inc. | Design of CMOS integrated germanium photodiodes |
US20070262296A1 (en) | 2006-05-11 | 2007-11-15 | Matthias Bauer | Photodetectors employing germanium layers |
US7943471B1 (en) | 2006-05-15 | 2011-05-17 | Globalfoundries Inc. | Diode with asymmetric silicon germanium anode |
US7755048B2 (en) | 2006-05-30 | 2010-07-13 | Ying Hsu | Large format thermoelectric infrared detector and method of fabrication |
US7718965B1 (en) | 2006-08-03 | 2010-05-18 | L-3 Communications Corporation | Microbolometer infrared detector elements and methods for forming same |
US7831123B2 (en) | 2006-09-07 | 2010-11-09 | Massachusetts Institute Of Technology | Microphotonic waveguide including core/cladding interface layer |
US7651880B2 (en) | 2006-11-04 | 2010-01-26 | Sharp Laboratories Of America, Inc. | Ge short wavelength infrared imager |
WO2008073967A1 (en) | 2006-12-13 | 2008-06-19 | Massachusetts Institute Of Technology | Mode transformers for low index high confinement waveguides |
JP4996938B2 (ja) | 2007-02-16 | 2012-08-08 | 株式会社日立製作所 | 半導体発光素子 |
TW200837965A (en) | 2007-03-05 | 2008-09-16 | Univ Nat Taiwan | Photodetector |
US7586773B2 (en) | 2007-03-27 | 2009-09-08 | Sandisk 3D Llc | Large array of upward pointing p-i-n diodes having large and uniform current |
TWI360232B (en) | 2007-06-12 | 2012-03-11 | Univ Nat Taiwan | Method for manufacturing photodetector |
JP2008311457A (ja) | 2007-06-15 | 2008-12-25 | Renesas Technology Corp | 半導体装置の製造方法 |
US7537968B2 (en) | 2007-06-19 | 2009-05-26 | Sandisk 3D Llc | Junction diode with reduced reverse current |
US8072791B2 (en) | 2007-06-25 | 2011-12-06 | Sandisk 3D Llc | Method of making nonvolatile memory device containing carbon or nitrogen doped diode |
EP2168161B1 (en) | 2007-06-25 | 2015-09-23 | Sandisk 3D LLC | Nonvolatile memory device containing carbon or nitrogen doped diode and method of making thereof |
JP4431996B2 (ja) | 2007-07-09 | 2010-03-17 | Smc株式会社 | 電磁弁駆動回路及び電磁弁 |
US7514751B2 (en) | 2007-08-02 | 2009-04-07 | National Semiconductor Corporation | SiGe DIAC ESD protection structure |
US8787774B2 (en) | 2007-10-10 | 2014-07-22 | Luxtera, Inc. | Method and system for a narrowband, non-linear optoelectronic receiver |
US7994066B1 (en) | 2007-10-13 | 2011-08-09 | Luxtera, Inc. | Si surface cleaning for semiconductor circuits |
WO2009052479A2 (en) | 2007-10-19 | 2009-04-23 | Bae Systems Information And Electronic Systems Integration Inc. | Method for manufacturing vertical germanium detectors |
WO2009055778A1 (en) | 2007-10-25 | 2009-04-30 | Bae Systems Information And Electronic Systems Integration Inc. | Method for manufacturing lateral germanium detectors |
US7790495B2 (en) | 2007-10-26 | 2010-09-07 | International Business Machines Corporation | Optoelectronic device with germanium photodetector |
CN101423907B (zh) | 2007-10-29 | 2010-12-22 | 北京有色金属与稀土应用研究所 | 锡锗砷合金及其制备方法和应用 |
US7659627B2 (en) | 2007-12-05 | 2010-02-09 | Fujifilm Corporation | Photodiode |
US7723206B2 (en) | 2007-12-05 | 2010-05-25 | Fujifilm Corporation | Photodiode |
US8078063B2 (en) | 2008-02-05 | 2011-12-13 | Finisar Corporation | Monolithic power monitor and wavelength detector |
US7902620B2 (en) | 2008-08-14 | 2011-03-08 | International Business Machines Corporation | Suspended germanium photodetector for silicon waveguide |
US8269303B2 (en) | 2008-03-07 | 2012-09-18 | Nec Corporation | SiGe photodiode |
US7737534B2 (en) | 2008-06-10 | 2010-06-15 | Northrop Grumman Systems Corporation | Semiconductor devices that include germanium nanofilm layer disposed within openings of silicon dioxide layer |
KR20100000941A (ko) | 2008-06-26 | 2010-01-06 | 한국산업기술대학교산학협력단 | 카메라를 이용한 평판 프린터의 자동 색상 보정 방법 및이를 이용한 평판 프린터 |
US8168939B2 (en) | 2008-07-09 | 2012-05-01 | Luxtera, Inc. | Method and system for a light source assembly supporting direct coupling to an integrated circuit |
US20100006961A1 (en) | 2008-07-09 | 2010-01-14 | Analog Devices, Inc. | Recessed Germanium (Ge) Diode |
KR20100009410A (ko) | 2008-07-18 | 2010-01-27 | 삼성전기주식회사 | 모바일 기기용 카메라모듈 |
JP2010045313A (ja) | 2008-08-18 | 2010-02-25 | Univ Of Tokyo | 検出素子の製造方法及び遠赤外線検出器の製造方法。 |
US8877616B2 (en) | 2008-09-08 | 2014-11-04 | Luxtera, Inc. | Method and system for monolithic integration of photonics and electronics in CMOS processes |
US8238014B2 (en) | 2008-09-08 | 2012-08-07 | Luxtera Inc. | Method and circuit for encoding multi-level pulse amplitude modulated signals using integrated optoelectronic devices |
US20120025212A1 (en) | 2008-09-16 | 2012-02-02 | Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University | GeSn Infrared Photodetectors |
FR2936868B1 (fr) | 2008-10-07 | 2011-02-18 | Ulis | Detecteur thermique a micro-encapsulation. |
KR101000941B1 (ko) | 2008-10-27 | 2010-12-13 | 한국전자통신연구원 | 게르마늄 광 검출기 및 그 형성방법 |
US7916377B2 (en) | 2008-11-03 | 2011-03-29 | Luxtera, Inc. | Integrated control system for laser and Mach-Zehnder interferometer |
US8680553B2 (en) | 2008-11-12 | 2014-03-25 | Hitachi, Ltd. | Light-emitting device, light-receiving device and method of manufacturing the same |
US8188512B2 (en) | 2008-12-03 | 2012-05-29 | Electronics And Telecommunications Research Institute | Growth of germanium epitaxial thin film with negative photoconductance characteristics and photodiode using the same |
KR101213228B1 (ko) | 2008-12-03 | 2012-12-17 | 한국전자통신연구원 | 네가티브 광전도 특성을 갖는 게르마늄 단결정 박막의 성장법 및 이를 이용한 광검출기 |
US8798476B2 (en) | 2009-02-18 | 2014-08-05 | Luxtera, Inc. | Method and system for single laser bidirectional links |
JP5428400B2 (ja) * | 2009-03-04 | 2014-02-26 | ソニー株式会社 | 固体撮像装置、および、その製造方法、電子機器 |
JP5480512B2 (ja) | 2009-03-11 | 2014-04-23 | 国立大学法人広島大学 | 光検出器およびそれを備えた光集積回路装置 |
US7927909B2 (en) | 2009-05-01 | 2011-04-19 | Sharp Laboratories Of America, Inc. | Germanium film optical device fabricated on a glass substrate |
US8358940B2 (en) | 2009-07-10 | 2013-01-22 | Luxtera Inc. | Method and system for optoelectronic receivers for uncoded data |
US20110027950A1 (en) | 2009-07-28 | 2011-02-03 | Jones Robert E | Method for forming a semiconductor device having a photodetector |
US8592745B2 (en) | 2009-08-19 | 2013-11-26 | Luxtera Inc. | Method and system for optoelectronic receivers utilizing waveguide heterojunction phototransistors integrated in a CMOS SOI wafer |
US8289067B2 (en) | 2009-09-14 | 2012-10-16 | Luxtera Inc. | Method and system for bandwidth enhancement using hybrid inductors |
WO2011041407A1 (en) | 2009-09-29 | 2011-04-07 | Research Triangle Institute, International | Quantum dot-fullerene junction optoelectronic devices |
US8319237B2 (en) | 2009-12-31 | 2012-11-27 | Intel Corporation | Integrated optical receiver architecture for high speed optical I/O applications |
JP5370857B2 (ja) | 2010-03-04 | 2013-12-18 | 日本電信電話株式会社 | ゲルマニウム受光器およびその製造方法 |
US8649639B2 (en) | 2010-03-04 | 2014-02-11 | Luxtera, Inc. | Method and system for waveguide mode filters |
US8923664B2 (en) | 2010-06-15 | 2014-12-30 | Luxtera, Inc. | Method and system for multi-mode integrated receivers |
US8625935B2 (en) | 2010-06-15 | 2014-01-07 | Luxtera, Inc. | Method and system for integrated power combiners |
US8304272B2 (en) | 2010-07-02 | 2012-11-06 | International Business Machines Corporation | Germanium photodetector |
US8471639B2 (en) | 2010-07-06 | 2013-06-25 | Luxtera Inc. | Method and system for a feedback transimpedance amplifier with sub-40khz low-frequency cutoff |
FR2966977B1 (fr) | 2010-11-03 | 2016-02-26 | Commissariat Energie Atomique | Detecteur de rayonnement visible et proche infrarouge |
FR2966976B1 (fr) | 2010-11-03 | 2016-07-29 | Commissariat Energie Atomique | Imageur monolithique multispectral visible et infrarouge |
CN102465336B (zh) | 2010-11-05 | 2014-07-09 | 上海华虹宏力半导体制造有限公司 | 一种高锗浓度的锗硅外延方法 |
EP2641276A2 (en) | 2010-11-19 | 2013-09-25 | The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And Behalf Of | Dilute sn-doped ge alloys |
US8633067B2 (en) | 2010-11-22 | 2014-01-21 | International Business Machines Corporation | Fabricating photonics devices fully integrated into a CMOS manufacturing process |
US8803068B2 (en) | 2011-01-26 | 2014-08-12 | Maxim Integrated Products, Inc. | Light sensor having a contiguous IR suppression filter and a transparent substrate |
US8354282B2 (en) | 2011-01-31 | 2013-01-15 | Alvin Gabriel Stern | Very high transmittance, back-illuminated, silicon-on-sapphire semiconductor wafer substrate for high quantum efficiency and high resolution, solid-state, imaging focal plane arrays |
GB2489924A (en) | 2011-04-06 | 2012-10-17 | Isis Innovation | Integrating III-V or II-VI devices with high resistivity silicon or germanium substrates |
US8741684B2 (en) | 2011-05-09 | 2014-06-03 | Imec | Co-integration of photonic devices on a silicon photonics platform |
US8471350B2 (en) | 2011-05-23 | 2013-06-25 | Alvin Gabriel Stern | Thin, very high transmittance, back-illuminated, silicon-on-saphire semiconductor substrates bonded to fused silica |
US8399949B2 (en) | 2011-06-30 | 2013-03-19 | Micron Technology, Inc. | Photonic systems and methods of forming photonic systems |
US8455292B2 (en) | 2011-09-09 | 2013-06-04 | International Business Machines Corporation | Deposition of germanium film |
CN102683168B (zh) | 2011-10-25 | 2015-10-28 | 苏州科技学院 | 一种制备磁性锗量子点的方法 |
RU2488916C1 (ru) | 2012-01-11 | 2013-07-27 | Федеральное государственное бюджетное учреждение науки Физико-технический институт им. А.Ф. Иоффе Российской академии наук | Полупроводниковый приемник инфракрасного излучения |
US9653639B2 (en) | 2012-02-07 | 2017-05-16 | Apic Corporation | Laser using locally strained germanium on silicon for opto-electronic applications |
US9110221B2 (en) | 2012-02-10 | 2015-08-18 | Massachusetts Institute Of Technology | Athermal photonic waveguide with refractive index tuning |
US8772899B2 (en) | 2012-03-01 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for backside illumination sensor |
US8866247B2 (en) | 2012-03-29 | 2014-10-21 | Intel Corporation | Photonic device with a conductive shunt layer |
FR2990565B1 (fr) | 2012-05-09 | 2016-10-28 | Commissariat Energie Atomique | Procede de realisation de detecteurs infrarouges |
DE102012010241B4 (de) | 2012-05-24 | 2020-06-25 | Kautex Textron Gmbh & Co. Kg | Verfahren zur Bestimmung des Rückhaltevermögens von Kraftstoffdampffiltern und Messanordnung |
CN102693988B (zh) | 2012-05-29 | 2014-12-31 | 上海丽恒光微电子科技有限公司 | 光电二极管阵列及其形成方法 |
RU121102U1 (ru) | 2012-06-29 | 2012-10-10 | Открытое акционерное общество "Московский завод "САПФИР" | ФОТОРЕЗИСТОР НА ОСНОВЕ InSb |
US9091827B2 (en) | 2012-07-09 | 2015-07-28 | Luxtera, Inc. | Method and system for grating couplers incorporating perturbed waveguides |
US9105772B2 (en) | 2012-07-30 | 2015-08-11 | Bae Systems Information And Electronic Systems Integration Inc. | In-line germanium avalanche photodetector |
US8765502B2 (en) | 2012-07-30 | 2014-07-01 | International Business Machines Corporation | Germanium photodetector schottky contact for integration with CMOS and Si nanophotonics |
KR20140025265A (ko) | 2012-08-20 | 2014-03-04 | 한국전자통신연구원 | 저전압 고이득 고속 광 검출기 및 그의 제조방법 |
US8872294B2 (en) * | 2012-08-21 | 2014-10-28 | Micron Technology, Inc. | Method and apparatus for reducing signal loss in a photo detector |
DE102013201644A1 (de) | 2012-09-19 | 2014-03-20 | Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik | Germanium PIN-Fotodiode für die Integration in eine CMOS- oder BiCMOS-Technologie |
SG2013075379A (en) * | 2012-10-08 | 2014-05-29 | Agency Science Tech & Res | P-i-n photodiode |
US8723125B1 (en) | 2012-11-06 | 2014-05-13 | Laxense Inc. | Waveguide end-coupled infrared detector |
GB201221079D0 (en) | 2012-11-23 | 2013-01-09 | Univ College Cork Nat Univ Ie | Amplified ge/si detectors formed by low temperature direct wafer bonding |
CN103000650B (zh) | 2012-12-10 | 2015-07-29 | 复旦大学 | 近红外-可见光可调图像传感器及其制造方法 |
US8802484B1 (en) | 2013-01-22 | 2014-08-12 | Globalfoundries Singapore Pte. Ltd. | Integration of germanium photo detector in CMOS processing |
US20140206190A1 (en) * | 2013-01-23 | 2014-07-24 | International Business Machines Corporation | Silicide Formation in High-Aspect Ratio Structures |
US9046650B2 (en) | 2013-03-12 | 2015-06-02 | The Massachusetts Institute Of Technology | Methods and apparatus for mid-infrared sensing |
KR20150088627A (ko) * | 2014-01-24 | 2015-08-03 | 한국전자통신연구원 | 수광 소자 |
CN103762255B (zh) | 2014-01-24 | 2016-03-02 | 中国科学院半导体研究所 | 硫族元素超饱和掺杂硅红外探测器及其制作方法 |
CN103928562B (zh) | 2014-05-04 | 2016-01-06 | 厦门大学 | 横向p-i-n结构Ge光电探测器的制备方法 |
US9595805B2 (en) * | 2014-09-22 | 2017-03-14 | International Business Machines Corporation | III-V photonic integrated circuits on silicon substrate |
US10571631B2 (en) | 2015-01-05 | 2020-02-25 | The Research Foundation For The State University Of New York | Integrated photonics including waveguiding material |
-
2016
- 2016-01-04 US US14/987,710 patent/US10571631B2/en active Active
- 2016-01-04 US US14/987,693 patent/US9864138B2/en active Active
- 2016-01-05 EP EP16755999.6A patent/EP3243221A4/en active Pending
- 2016-01-05 SG SG10202100628WA patent/SG10202100628WA/en unknown
- 2016-01-05 SG SG11201704944UA patent/SG11201704944UA/en unknown
- 2016-01-05 KR KR1020177018212A patent/KR20170117378A/ko not_active Application Discontinuation
- 2016-01-05 CN CN201680004837.0A patent/CN107408589A/zh active Pending
- 2016-01-05 WO PCT/US2016/012183 patent/WO2016137576A2/en active Application Filing
-
2018
- 2018-01-08 US US15/864,651 patent/US10295745B2/en active Active
-
2019
- 2019-04-26 US US16/396,128 patent/US10830952B2/en active Active
- 2019-12-17 US US16/717,737 patent/US11703643B2/en active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20200001557A (ko) * | 2018-06-27 | 2020-01-06 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 광 반도체 디바이스 및 방법 |
US11156772B2 (en) | 2018-06-27 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photonic semiconductor device and method |
Also Published As
Publication number | Publication date |
---|---|
US20200158954A1 (en) | 2020-05-21 |
US20200026003A1 (en) | 2020-01-23 |
CN107408589A (zh) | 2017-11-28 |
EP3243221A4 (en) | 2019-01-09 |
US10295745B2 (en) | 2019-05-21 |
US11703643B2 (en) | 2023-07-18 |
US20160197111A1 (en) | 2016-07-07 |
US20190025513A1 (en) | 2019-01-24 |
EP3243221A2 (en) | 2017-11-15 |
US10571631B2 (en) | 2020-02-25 |
US9864138B2 (en) | 2018-01-09 |
WO2016137576A3 (en) | 2016-10-13 |
SG10202100628WA (en) | 2021-02-25 |
US20160223749A1 (en) | 2016-08-04 |
SG11201704944UA (en) | 2017-07-28 |
WO2016137576A2 (en) | 2016-09-01 |
US10830952B2 (en) | 2020-11-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11703643B2 (en) | Integrated photonics including waveguiding material | |
JP6326544B2 (ja) | 同じ基板上でトランジスタと共に光検出器を製作するためのモノリシック集積技法 | |
US7760980B2 (en) | Ridge technique for fabricating an optical detector and an optical waveguide | |
US9577065B2 (en) | Back-end transistors with highly doped low-temperature contacts | |
JP6091273B2 (ja) | 半導体装置とその製造方法 | |
TW202114239A (zh) | 光敏裝置與製造光敏裝置之方法 | |
US20210091207A1 (en) | Stacked vertical field effect transistor with self-aligned junctions | |
TWI755117B (zh) | 半導體裝置及其形成方法 | |
US9246045B2 (en) | Method for fabricating a photodetector | |
US9837566B2 (en) | Photodiodes including seed layer | |
JP6386039B2 (ja) | 欠陥の少ないエピタキシャルフォトニックデバイスを提供する方法およびその結果生じる構造 | |
JP2014183194A (ja) | 半導体装置の製造方法 | |
US20220229228A1 (en) | Fabricating photonics structure conductive pathways | |
US9406717B2 (en) | Passivation of back-illuminated image sensor | |
TWI854722B (zh) | 半導體結構及其形成方法 | |
TW202107621A (zh) | 製造光學結構傳導途徑的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E601 | Decision to refuse application |