KR101000941B1 - 게르마늄 광 검출기 및 그 형성방법 - Google Patents

게르마늄 광 검출기 및 그 형성방법 Download PDF

Info

Publication number
KR101000941B1
KR101000941B1 KR1020080105199A KR20080105199A KR101000941B1 KR 101000941 B1 KR101000941 B1 KR 101000941B1 KR 1020080105199 A KR1020080105199 A KR 1020080105199A KR 20080105199 A KR20080105199 A KR 20080105199A KR 101000941 B1 KR101000941 B1 KR 101000941B1
Authority
KR
South Korea
Prior art keywords
germanium
layer
temperature
substrate
forming
Prior art date
Application number
KR1020080105199A
Other languages
English (en)
Other versions
KR20100046381A (ko
Inventor
서동우
김상훈
김경옥
주지호
Original Assignee
한국전자통신연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국전자통신연구원 filed Critical 한국전자통신연구원
Priority to KR1020080105199A priority Critical patent/KR101000941B1/ko
Priority to US12/404,275 priority patent/US8698271B2/en
Publication of KR20100046381A publication Critical patent/KR20100046381A/ko
Application granted granted Critical
Publication of KR101000941B1 publication Critical patent/KR101000941B1/ko
Priority to US14/194,723 priority patent/US20140175510A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1872Recrystallisation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Light Receiving Elements (AREA)

Abstract

버퍼층 없이 게르마늄 에피층을 형성할 수 있는 게르마늄 광 검출기 및 그 제조방법이 제공된다. 기판 상에 저온에서 비정질 게르마늄층을 형성하고, 상기 비정질 게르마늄층을 고온으로 승온하여 결정화하고, 상기 결정화된 게르마늄층 상에 게르마늄 에피층을 형성한다.
게르마늄 광 검출기, 비정질, 버퍼층, 저진공

Description

게르마늄 광 검출기 및 그 형성방법{GERMANIUM PHOTODETECTOR AND METHOD OF FORMING THE SAME}
본 발명은 광 검출기 및 그 형성방법에 관한 것으로서, 더욱 상세하게는 게르마늄 광 검출기 및 그 형성방법에 관한 것이다. 본 발명은 지식경제부 및 정보통신연구진흥원의 IT원천기술개발 사업의 일환으로 수행한 연구로부터 도출된 것이다. [과제관리번호: 2006-S004-03, 과제명: 실리콘 기반 초고속 광인터커넥션IC].
최근 실리콘 기반의 광통신 기술은 능동 장치 및 수동 장치 어플리케이션 모두에서 게르마늄을 수반하고 있다. 상기 게르마늄은 밴드갭 에너지가 0.67 eV로서 실리콘의 1.12 eV에 비해 작기 때문에 상기 실리콘에서 검출하지 못하는 범용 광 통신용 파장(λ: 1.3 ~1.6 ㎛) 검출이 가능하다. 그러나 상기 게르마늄은 상기 실리콘과 4%의 격자 상수 차이가 있다. 때문에 실리콘 기판 상에 결함이 적은 게르마늄 에피층을 직접 성장하는 것이 어렵다. 상기 실리콘 기판과 상기 게르마늄 에피층 사이에 SiGe 버퍼층을 사용하여 p-i-n 검출기를 구성하는 방법이 있다. 그러나, 상기 버퍼층 자체에 상당히 많은 결정 결함들이 내재하고, 상기 버퍼층의 두께가 두꺼워져야 상기 게르마늄 에피층을 성장시킬 수 있다. 때문에 광 검출기의 성능이 저하되고 제작공정에 또한 많은 제약을 받게 된다. 상기 버퍼층 없이 초고진공 CVD(ultra-high vacuum chemical vapor deposition: UHVCVD)나 분자빔 성장(molecular beam epitaxy: MBE) 등과 같은 10-9Torr 이하의 초고진공에서 상기 실리콘 기판상에 상기 게르마늄 에피층을 형성할 수 있다. 그러나, 전위(dislocation)와 같은 결정 결함들을 줄이기 위해 700℃이상에서의 어닐링 공정이 필요하게 된다. 따라서 생산성이 낮을 뿐만 아니라 고온에서의 어닐링 공정에 따른 대량생산에 불리한 점이 있다.
본 발명의 실시예들은 게르마늄 에피층을 갖는 광 검출기 및 그 형성방법을 제공한다.
상술한 기술적 과제들을 해결하기 위한 게르마늄 광 검출기의 제조방법을 제공한다. 이 방법은 기판 상에 제 1 온도에서 비정질 게르마늄층을 형성하는 것, 상기 비정질 게르마늄층을 상기 제 1 온도에서 제 2 온도로 승온 동안 결정화는 것, 그리고 상기 결정화된 게르마늄층 상에 게르마늄 에피층을 형성하는 것을 포함한다.
일 실시예에 따르면, 상기 결정화된 게르마늄층 상에 게르마늄 에피층을 형 성하는 것은 상기 제 1 온도에서 상기 제 2 온도로의 승온과정 또는 상기 제 2 온도에서 이루어질 수 있다.
상술한 기술적 과제들을 해결하기 위한 게르마늄 광 검출기를 제공한다. 이 광 검출기는 기판 상에 직접 접촉하는 게르마늄 에피층, 상기 게르마늄 에피층 상의 제 1 도핑층, 및 상기 기판의 상부 또는 상기 게르마늄 에피층의 하부의 상기 제 1 도핑층과 다른 도전형의 제 2 도핑층을 포함한다.
저진공에서 버퍼층 및 어닐링 공정 없이 게르마늄 에피층을 형성함으로써, 기판 및 공정 비용이 상대적으로 저렴한 게르마늄 광 검출기를 제공할 수 있다.
이하, 첨부 도면을 참조하여 본 발명의 실시 예에 대해 설명한다. 본 발명은 여기서 설명되는 실시 예에 한정되지 않고 다른 형태로 구체화될 수도 있다.
본 명세서에서, 도전성막, 반도체막, 또는 절연성막 등의 어떤 물질막이 다른 물질막 또는 기판"상"에 있다고 언급되는 경우에, 그 어떤 물질막은 다른 물질막 또는 기판상에 직접 형성될 수 있거나 또는 그들 사이에 또 다른 물질막이 개재될 수도 있다는 것을 의미한다. 또 본 명세서의 다양한 실시예들에서 제 1, 제 2, 제 3 등의 용어가 물질막 또는 공정 단계를 기술하기 위해서 사용되었지만, 이는 단지 어느 특정 물질막 또는 공정 단계를 다른 물질막 또는 다른 공정 단계와 구별시키기 위해서 사용되었을 뿐이며, 이 같은 용어들에 의해서 한정되어서는 안된다.
본 발명의 일 실시예에 따른 광 검출기를 제조하는 방법이 설명된다.
도 1을 참조하여, 기판(100)상에 제 1 도핑층(110)을 형성한다. 상기 기판(100)은 실리콘 표면을 가지는 임의의 반도체 근거 구조(semiconductor based structure)를 포함할 수 있다. 이와 같은 반도체 근거 구조는 실리콘, 절연막 상의 실리콘(SOI), 또는 반도체 구조에 지지되는 실리콘 에피탁시얼층을 의미할 수 있다. 기판은 절연막 또는 도전막이 형성되어 있는 기판일 수 있다. 상기 기판(100)에 이온주입 또는 확산공정 등에 의해 n형 또는 p형의 제 1 도핑층(110)이 형성된다. 일 예로 상기 제 1 도핑층(110)의 농도는 5×1020/㎤일 수 있다.
도 2를 참조하여, 상기 제 1 도핑층(110) 상에 비정질(amorphous)의 게르마늄층(120)을 형성한다. 일 예로, GeH4 가스가 상기 기판(100)으로 도입된다. 1∼300 Torr의 압력에서 기판 온도는 300∼500℃의 저온으로 유지될 수 있다. GeH4 가스는 게르마늄과 H2 가스로 분해되고, 분해된 게르마늄은 상기 기판(100) 상에 증착되어, 300nm 이하의 얇은 두께의 게르마늄층을 형성할 수 있다. 증착속도가 낮기 때문에 매우 얇은 두께의 조절이 가능하다. 게르마늄층은, 낮은 기판 온도로 인하여, 상기 기판(100) 상에 비정질 상태로 성장하게 된다.
도 3을 참조하여, 상기 기판(100)은 600∼700℃의 고온으로 승온된다. 상기 비정질 게르마늄층(120)은 승온과정에서 적어도 일부가 결정화되어 결정화된 게르마늄층(121)이 될 수 있다. 승온 과정 또는 승온 후에 반응 가스인 GeH4를 상기 기판(100) 상에 도입하여 게르마늄 에피층(130)이 형성된다. 상기 결정화 및 상기 게 르마늄 에피층(130)의 성장은 1-300Torr의 압력에서 이루어질 수 있다. 이러한 공정에 따라 형성되는 상기 게르마늄 에피층(130)은 상기 기판(100)과의 격자 상수 차이로 인한 스트레스가 완화되어, 별도의 버퍼층이나 어닐링 공정이 요구되지 않을 수 있다. 이에 따라, 상기 결정화된 게르마늄층(121) 상에 증착되는 상기 게르마늄 에피층(130)은 동종 원소로 인한 에피 성장이 가능하다. 그 결과 상기 게르마늄 에피층(130)과 상기 기판(100)과의 격자상수 차이로 인한 격자 결함들이 감소할 수 있다.
도 4a 및 도 4b를 참조하여, 상기 기판(100) 상의 0.1㎛ 두께의 상기 결정화된 게르마늄층(121)으로부터 성장한 1.3㎛ 두께의 상기 게르마늄 에피층(130)을 볼 수 있다. 상기 게르마늄 에피층(130)은 세코 에칭(Secco etching) 방법으로 측정시 2×106/㎠의 낮은 실 모양의 전위(threading dislocation) 밀도를 갖는다. 상기 기판(100)의 실리콘 원자 상에 대부분의 게르마늄 원자가 일치하여(coherently) 증착되었다. 상기 실 모양의 전위를 통해, 상기 결정화된 게르마늄층(121)이 상기 실리콘과 게르마늄 사이의 4%의 격자상수 차이(lattice mismatch)를 완화시켜 준다.
도 5를 참조하여, 상기 기판(100) 상에 형성된 상기 게르마늄 에피층(130)의 X-선 회절 특성을 볼 수 있다. 도 5의 피크 101은 기판 상에 형성된 게르마늄 에피층의 회절 결정면을 나타내며, 상기 게르마늄 에피층에 다른 다결정 구조 없이 에피 결정으로 성장하였음을 보여주고 있다.
도 6를 참조하여, 상기 게르마늄 에피층(130) 상에 제 2 도핑층(140)을 형성 한다. 상기 제 2 도핑층(140)은 상기 게르마늄 에피층(130)에 n형 또는 p형 불순물 원소를 도입하여 형성될 수 있다. 다른 방법으로, 상기 제 2 도핑층(140)은 n형 또는 p형으로 도핑된 실리콘, 폴리 실리콘 등이 상기 게르마늄 에피층(130) 상에 증착되는 것에 의하여 형성될 수 있다. 일 예로, 상기 제 2 도핑층(140)의 농도는 1×1019/㎤일 수 있다. 상기 제 2 도핑층(140)은 상기 제 1 도핑층(110)과 다른 도전형을 갖게 하여 p-i-n 검출기를 구성한다.
도 7 및 도 8을 참조하여, 상기 결정화된 게르마늄층(121), 상기 게르마늄 에피층(130) 및 상기 제 2 도핑층(140)을 이방성 식각하여 패터닝한다. 이에 의해 상기 제 1 도핑층(110)이 노출된다. 절연보호층(160)이 형성된다. 상기 절연보호층(160)은 산화막, 질화막 또는 산화질화막으로 형성될 수 있다. 상기 절연보호층(160)의 일부를 식각하여 상기 제 1 도핑층(120) 및 상기 제 2 도핑층(140)을 노출한다. 노출된 부분에 전극(150)을 형성한다.
본 발명의 다른 실시예에 따른 광 검출기를 제조하는 방법이 설명된다.
제 1 도핑층을 형성하는 방법에서의 차이를 제외하면 이 실시예는 앞서 제 1 실시예의 그것과 유사하다. 따라서, 설명의 간결함을 위해, 중복되는 기술적 특징들에 대한 설명은 아래에서 생략된다.
도 9를 참조하여, 상기 기판(200) 상에 비정질 게르마늄 제 1 도핑층(220)을 형성한다. 일 예로, GeH4 가스가 상기 기판(200)으로 도입된다. 1-300Torr의 압력에서 기판 온도는 300∼500℃의 저온으로 유지될 수 있다. GeH4 가스는 게르마늄과 H2 가스로 분해되고, 분해된 게르마늄은 상기 기판(200) 상에 증착되어, 300nm 이하의 얇은 두께의 게르마늄층을 형성할 수 있다. 증착속도가 낮기 때문에 매우 얇은 두께의 조절이 가능하다. 게르마늄층은, 낮은 기판 온도로 인하여, 상기 기판(200) 상에 비정질 상태로 성장하게 된다. 비정질 게르마늄층은 형성되는 동안 이온주입 또는 확산공정 등에 의해 인 시츄(in-situ)로 n형 또는 p형으로 도핑되어 비정질 게르마늄 제 1 도핑층(220)이 된다. 일 예로 상기 제 1 도핑층(110)의 농도는 5×1020/㎤일 수 있다.
도 10을 참조하여, 상기 기판(200) 및 상기 비정질 게르마늄 제 1 도핑층(220)은 600∼700℃의 고온으로 승온된다. 상기 비정질 게르마늄 제 1 도핑층(220)은 승온과정에서 적어도 일부가 결정화되어 결정화된 게르마늄 제 1 도핑층(221)이 될 수 있다. 승온 과정 또는 승온 후에 반응 가스인 GeH4를 상기 기판(200)상에 도입하여 게르마늄 에피층(230)이 형성된다. 상기 결정화 및 상기 게르마늄 에피층(230)의 성장은 1-300Torr의 압력에서 이루어질 수 있다. 이러한 공정에 따라 형성되는 상기 게르마늄 에피층(230)은 상기 기판(200)과의 격자 상수 차이로 인한 스트레스가 완화되어, 별도의 버퍼층이나 어닐링 공정이 요구되지 않을 수 있다. 이에 따라, 상기 결정화된 게르마늄 제 1 도핑층(221) 상에 증착되는 상기 게르마늄 에피층(230)은 동종 원소로 인한 에피 성장이 가능하다. 그 결과 상기 게르마늄 에피층(230)과 상기 기판(200)과의 격자상수 차이로 인한 격자 결함들이 감소할 수 있다.
도 11을 참조하여, 상기 게르마늄 에피층(230) 상에 제 2 도핑층(240)을 형성한다. 상기 제 2 도핑층(240)은 상기 게르마늄 에피층(230)에 n형 또는 p형 불순물 원소를 도입하여 형성될 수 있다. 다른 방법으로, 상기 2 도핑층(240)은 n형 또는 p형으로 도핑된 실리콘, 폴리 실리콘 등이 상기 게르마늄 에피층(230) 상에 증착되는 것에 의하여 형성될 수 있다. 일 예로, 상기 제 2 도핑층(140)의 농도는 1×1019/㎤일 수 있다. 상기 제 2 도핑층(240)은 상기 결정화된 게르마늄 제 1 도핑층(221)과 다른 도전형을 갖게 하여 p-i-n 검출기를 구성한다.
도 12 및 도 13을 참조하여, 상기 결정화된 게르마늄 제 1 도핑층(221), 상기 게르마늄 에피층(230) 및 제 2 도핑층(240)을 이방성 식각하여 패터닝 한다. 이에 의해 상기 결정화된 게르마늄 제 1 도핑층(221)이 노출된다. 절연보호층(260)이 형성된다. 상기 절연 보호층(260)은 산화막, 질화막 또는 산화질화막으로 형성될 수 있다. 상기 절연보호층(260)의 일부를 식각하여 상기 결정화된 게르마늄 제 1 도핑층(221) 및 상기 제 2 도핑층(240)을 노출한다. 노출된 부분에 전극(250)을 형성한다.
도 14를 참조하여, 본 발명의 실시예들에 따른 상기 게르마늄 광 검출기의 전류-전압 특성도를 볼 수 있다. 0.47A/W의 반응도(responsivity)를 갖는 광 전류는 역 바이어스 전압에서 평탄하고 넓은 범위를 갖고, 누설전류(leakage current)는 -0.5V에서 30nA이다. 또한 0V에서도 거의 완전한 DC 응답을 얻었다. 이는 전기장이 바이어스 없이도 공핍층에서 성립될 정도록 충분히 성립된 것을 의미한다. 5mW의 광 파워까지, DC 광전류의 어떤 압축도 관찰되지 않았다.
도 15를 참조하여, 본 발명의 실시예들에 따른 검출기의 속도를 볼 수 있다. 검출기의 속도는 20㎛와 40㎛ 지름의 검출기용 임펄스 반응 장치를 이용하여 측정되었다. 중심 파장이 1550nm인 디지털 커뮤니케이션 분석기(digital communication analyzer)의 모드-락킹된 펄스(mode locked pulses)가 -1V, -2V 및 -3V의 검출기로 연결되었다. 상기 검출기의 순간적인 응답의 형태는 대개 끝 부분이 언덕(hillock) 형상인 가우시안(Gaussian)이었다. 도 15의 주파수 스펙트럼을 얻기 위해 푸리에 변환(Fourier transform)이 수행되었다. 검출기가 50Gb/s의 비트 레이트(bit rate)를 수행할 수 있는 것을 보여주는 35GHz의 3-dB 밴드폭을 볼 수 있다.
도 1 내지 도 3 및 도 6 내지 도 8은 본 발명의 일 실시예에 따른 게르마늄 광 검출기를 형성하는 방법을 설명하기 위한 단면도들이다.
도 4a 및 도 4b는 본 발명의 실시예들에 따른 기판 상에 형성된 게르마늄 에피층의 계면 상태를 나타내는 TEM(transmission electron microscope) 이미지들이다. 도 4b는 도 4a의 박스A 부분의 확대도이다.
도 5는 본 발명의 실시예들에 따른 기판 상에 형성된 게르마늄 에피층의 X-선 회절 특성을 나타내는 그래프이다.
도 9 내지 도 13은 본 발명의 다른 실시예에 따른 게르마늄 광 검출기를 형성하는 방법을 설명하기 위한 단면도들이다.
도 14는 본 발명의 실시예들에 따른 게르마늄 광 검출기의 전류-전압 특성을 나타내는 그래프이다.
도 15는 본 발명의 실시예들에 따른 게르마늄 광 검출기의 속도를 나타내는 그래프이다.

Claims (10)

  1. 기판 상에 제 1 온도에서 비정질 게르마늄층을 형성하는 것;
    상기 비정질 게르마늄층을, 상기 제 1 온도에서 제 2 온도로 승온 동안, 결정화는 것; 그리고
    상기 결정화된 게르마늄층 상에 게르마늄 에피층을 형성하는 것을 포함하는 게르마늄 광 검출기의 제조방법.
  2. 제 1항에 있어서, 상기 결정화된 게르마늄층 상에 게르마늄 에피층을 형성하는 것은 상기 제 1 온도에서 상기 제 2 온도로의 승온과정 또는 상기 제 2 온도에서 이루어지는 게르마늄 광 검출기의 제조방법.
  3. 제 1항에 있어서, 상기 비정질 게르마늄층을 형성하는 동안에 불순물 원자를 인 시츄(in-situ)로 도핑하는 게르마늄 광 검출기의 제조방법.
  4. 제 1항에 있어서, 상기 제 1 온도는 300∼500℃인 게르마늄 광 검출기의 제조방법.
  5. 제 4항에 있어서, 상기 제 2 온도는 600∼700℃인 게르마늄 광 검출기의 제조방법.
  6. 제 1항에 있어서, 상기 비정질 게르마늄층 및 상기 게르마늄 에피층을 형성하는 것은 1∼300 Torr의 저진공에서 이루어지는 게르마늄 광 검출기의 제조방법.
  7. 제 1항에 있어서, 상기 비정질 게르마늄층은 300nm 이하로 형성되는 게르마늄 광 검출기의 제조방법.
  8. 기판 상에 직접 접촉하는 게르마늄층;
    상기 게르마늄층 상의 제 1 도핑층; 및
    상기 기판의 상부 또는 상기 게르마늄층의 하부의 상기 제 1 도핑층과 다른 도전형의 제 2 도핑층을 포함하고,
    상기 게르마늄층은 세코 에칭(Secco etching) 방법으로 측정된 실모양의 전위를 갖는 게르마늄 광 검출기.
  9. 제 8항에 있어서, 상기 전위의 농도는 2×106/㎠ 이하인 게르마늄 광 검출기.
  10. 제 8항에 있어서, 상기 게르마늄 광 검출기는 -1.0V에서 100nA 이하의 누설전류를 갖는 게르마늄 광 검출기.
KR1020080105199A 2008-10-27 2008-10-27 게르마늄 광 검출기 및 그 형성방법 KR101000941B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020080105199A KR101000941B1 (ko) 2008-10-27 2008-10-27 게르마늄 광 검출기 및 그 형성방법
US12/404,275 US8698271B2 (en) 2008-10-27 2009-03-13 Germanium photodetector and method of fabricating the same
US14/194,723 US20140175510A1 (en) 2008-10-27 2014-03-01 Germanium photodetector and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080105199A KR101000941B1 (ko) 2008-10-27 2008-10-27 게르마늄 광 검출기 및 그 형성방법

Publications (2)

Publication Number Publication Date
KR20100046381A KR20100046381A (ko) 2010-05-07
KR101000941B1 true KR101000941B1 (ko) 2010-12-13

Family

ID=42116660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080105199A KR101000941B1 (ko) 2008-10-27 2008-10-27 게르마늄 광 검출기 및 그 형성방법

Country Status (2)

Country Link
US (2) US8698271B2 (ko)
KR (1) KR101000941B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9864138B2 (en) 2015-01-05 2018-01-09 The Research Foundation For The State University Of New York Integrated photonics including germanium

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120326260A1 (en) * 2011-06-21 2012-12-27 William French Photodiode that incorporates a charge balanced set of alternating n and p doped semiconductor regions
US8883616B2 (en) 2012-07-31 2014-11-11 Hewlett-Packard Development Company, L.P. Germanium on insulator apparatus
CN104037275B (zh) * 2014-06-14 2017-09-01 西安电子科技大学 具有悬浮结构的氮化硅膜致应变的锗led器件及其制备方法
US9461090B2 (en) 2014-07-03 2016-10-04 Globalfoundries Inc. Photodetector and method of forming the photodetector on stacked trench isolation regions
JP2017022175A (ja) * 2015-07-07 2017-01-26 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9905607B2 (en) * 2015-07-28 2018-02-27 General Electric Company Radiation detector fabrication
KR102357012B1 (ko) * 2016-10-25 2022-02-04 한국전자통신연구원 광 검출 소자 및 그의 제조 방법
US10976491B2 (en) 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
US10698156B2 (en) 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
SG11202009807UA (en) 2018-04-04 2020-11-27 Univ New York State Res Found Heterogeneous structure on an integrated photonics platform
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
US11550099B2 (en) 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system
US11029466B2 (en) 2018-11-21 2021-06-08 The Research Foundation For The State University Of New York Photonics structure with integrated laser
JP2024508741A (ja) * 2021-02-11 2024-02-28 ソクプラ シオンス エ ジェニ エス.ウー.セ 光電子デバイスを製造する方法およびシステム、並びにそれを使用して製造される光電子デバイス
CN116666500B (zh) * 2023-07-24 2023-11-03 上海铭锟半导体有限公司 锗光电探测器及通过热失配应力提高其长波响应的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11224953A (ja) 1997-12-02 1999-08-17 Ricoh Co Ltd 光起電力装置及びその製造方法
KR100393955B1 (ko) 1995-12-09 2003-08-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미정질 반도체 막을 포함하는 반도체 장치
JP2006100611A (ja) 2004-09-30 2006-04-13 Sanyo Electric Co Ltd 光起電力装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130144A (en) * 1997-01-02 2000-10-10 Texas Instruments Incorporated Method for making very shallow junctions in silicon devices
JP4267266B2 (ja) * 2001-07-10 2009-05-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6693298B2 (en) 2001-07-20 2004-02-17 Motorola, Inc. Structure and method for fabricating epitaxial semiconductor on insulator (SOI) structures and devices utilizing the formation of a compliant substrate for materials used to form same
AU2002368035A1 (en) 2002-06-19 2004-01-06 Massachusetts Institute Of Technology Ge photodetectors
US6699764B1 (en) * 2002-09-09 2004-03-02 Sharp Laboratories Of America, Inc. Method for amorphization re-crystallization of Si1-xGex films on silicon substrates
US7288825B2 (en) * 2002-12-18 2007-10-30 Noble Peak Vision Corp. Low-noise semiconductor photodetectors
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
TWI221001B (en) 2003-07-28 2004-09-11 Univ Nat Chiao Tung A method for growing a GaAs epitaxial layer on Ge/GeSi/Si substrate
US7259084B2 (en) 2003-07-28 2007-08-21 National Chiao-Tung University Growth of GaAs epitaxial layers on Si substrate by using a novel GeSi buffer layer
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
JP2006080481A (ja) * 2004-08-11 2006-03-23 Canon Inc 半導体基板及びその製造方法
US7785982B2 (en) * 2007-01-05 2010-08-31 International Business Machines Corporation Structures containing electrodeposited germanium and methods for their fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100393955B1 (ko) 1995-12-09 2003-08-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미정질 반도체 막을 포함하는 반도체 장치
JPH11224953A (ja) 1997-12-02 1999-08-17 Ricoh Co Ltd 光起電力装置及びその製造方法
JP2006100611A (ja) 2004-09-30 2006-04-13 Sanyo Electric Co Ltd 光起電力装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9864138B2 (en) 2015-01-05 2018-01-09 The Research Foundation For The State University Of New York Integrated photonics including germanium
US10295745B2 (en) 2015-01-05 2019-05-21 The Research Foundation For The State University Of New York Integrated photonics including germanium
US10571631B2 (en) 2015-01-05 2020-02-25 The Research Foundation For The State University Of New York Integrated photonics including waveguiding material

Also Published As

Publication number Publication date
KR20100046381A (ko) 2010-05-07
US20140175510A1 (en) 2014-06-26
US8698271B2 (en) 2014-04-15
US20100102412A1 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
KR101000941B1 (ko) 게르마늄 광 검출기 및 그 형성방법
US8829531B2 (en) Photonic systems and methods of forming photonic systems
US20110084308A1 (en) Semiconductor arrangement and a method for manufacturing the same
EP2399300B1 (en) Method for forming a photovoltaic cell
Cicek et al. AlxGa1− xN-based solar-blind ultraviolet photodetector based on lateral epitaxial overgrowth of AlN on Si substrate
US9425341B2 (en) P-I-N photodiode with dopant diffusion barrier layer
US11810989B2 (en) Fin-based photodetector structure
US20150380597A1 (en) Field-effect localized emitter photovoltaic device
US7205525B2 (en) Light conversion apparatus with topside electrode
KR20150050096A (ko) 기판 구조체, 이를 포함한 cmos 소자 및 cmos 소자 제조 방법
US9837566B2 (en) Photodiodes including seed layer
Oka et al. Back-side illuminated GeSn photodiode array on quartz substrate fabricated by laser-induced liquid-phase crystallization for monolithically-integrated NIR imager chip
CN111681951A (zh) 一种半导体结构及其制造方法
Sammak et al. PureGaB p+ n Ge diodes grown in large windows to Si with a sub-300 nm transition region
US9076921B2 (en) Dark current reduction for large area photodiodes
US11309447B2 (en) Separate absorption charge and multiplication avalanche photodiode structure and method of making such a structure
US20060260676A1 (en) Photodetector
Lyle Research and Development of Electrical Contacts to β-Ga2O3 for Power Electronics and UV Photodetectors
Löper et al. Photovoltaic properties of silicon nanocrystals in silicon carbide
Masini et al. Germanium thin films on silicon for detection of near-infrared light
CN116666500B (zh) 锗光电探测器及通过热失配应力提高其长波响应的方法
KR20180046339A (ko) 광 검출 소자 및 그의 제조 방법
WO2006090992A1 (en) Method of manufacturing photodiode for image sensor and photodiode manufactured thereby
KR20140025270A (ko) 저전압 고이득 고속 광 검출기 및 그의 제조방법
Zhu et al. Sputtering-Grown Intrinsic Gesn/Ge Multiple Quantum Wells on N-Ge for Low-Cost Visible/Shortwave Infrared Dual-Band Photodetection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131128

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151127

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161121

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181025

Year of fee payment: 9