KR20170070149A - 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화 - Google Patents

블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화 Download PDF

Info

Publication number
KR20170070149A
KR20170070149A KR1020177012851A KR20177012851A KR20170070149A KR 20170070149 A KR20170070149 A KR 20170070149A KR 1020177012851 A KR1020177012851 A KR 1020177012851A KR 20177012851 A KR20177012851 A KR 20177012851A KR 20170070149 A KR20170070149 A KR 20170070149A
Authority
KR
South Korea
Prior art keywords
polymeric
self
substrate
top surface
planarization layer
Prior art date
Application number
KR1020177012851A
Other languages
English (en)
Other versions
KR102296810B1 (ko
Inventor
앤드류 메츠
안톤 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170070149A publication Critical patent/KR20170070149A/ko
Application granted granted Critical
Publication of KR102296810B1 publication Critical patent/KR102296810B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 명세서의 기술은 기존 피처를 손상시키지 않으면서 패턴을 패턴화하거나 맞추기 위해 기존 피처를 사용하는 자기 정렬 에칭 방법을 제공한다. 기존 기판 구조물은 별도의 리소그래픽 패턴화 층 없이 블록 공중합체(BCP)의 직접 자기 조립(DSA)을 가능하게 하는 표면을 생성하기 위해 사용된다. 본 명세서의 방법은 기판 상의 적어도 하나의 기존 물질 또는 구조물을 리세스하는 단계와, 리세스된 물질에만 남는 필름을 추가하는 단계를 포함한다. 이 필름은 블록 공중합체의 제어된 자기 조립을 가능하게 할 수 있는 우선적인 표면 에너지를 갖도록 선택될 수 있다. 그런 다음, 기판은 에칭 마스크로서 기존 구조물 및 하나의 중합체 물질을 모두 사용하여 에칭될 수 있다. 하나의 예시적인 장점은, 자기 조립 중합체 물질이 기존 피처의 노출된 코너를 보호하기 위해 위치할 수 있는 것으로, 이는 선택적인 에칭 화학적 작용의 부담을 감소시키고, 후속 에칭의 정밀도를 증가시키며, 스퍼터 수율을 감소시킨다.

Description

블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화{SELF-ALIGNED PATTERNING USING DIRECTED SELF-ASSEMBLY OF BLOCK COPOLYMERS}
관련 출원에 대한 상호 참조
본 출원은 2014년 10월 14일자에 출원된 발명의 명칭이 "Self-Aligned Patterning using Directed Self-Assembly of Block Copolymers,"인 미국 가특허 출원 제62/063,462호의 우선권을 주장하며, 이는 그 전체가 참조로 본 명세서에 포함된다.
본 개시는 기판에서 피처(feature)를 에칭하는 것에 관한 것으로, 기판을 에칭하기 위한 패턴화 공정을 포함한다.
반도체 산업에서 집적 회로(IC)의 제조는 전형적으로 기판으로부터 물질을 제거하고 기판에 물질을 증착하기 위해 사용되는 표면 화학적 작용(chemistry)을 돕는 플라즈마를 생성하기 위해 플라즈마 반응기를 사용하는 것을 포함한다. 건식 플라즈마 에칭 공정이 반도체 기판 상에 패턴화된 콘택에서 또는 비아 내에서 또는 미세 라인을 따라 물질을 제거하거나 에칭하기 위해 일상적으로 사용된다. 성공적인 플라즈마 에칭 공정은 다른 물질은 에칭하지 않으면서 (실질적으로 에칭하지 않음) 하나의 물질을 선택적으로 에칭하는데 적합한 화학 반응물을 포함하는 에칭 화학적 작용을 필요로 한다. 에칭 공정은 전형적으로 패턴화된 마스크와 함께 사용된다.
예를 들어, 반도체 기판 상에서, 보호 층에 형성된 릴리프 패턴이 방향성 플라즈마 에칭 공정을 사용하여 선택된 물질의 하부 층으로 전사될 수 있다. 보호 층은 리소그래픽 공정을 사용하여 형성된 잠재 패턴을 갖는 포토 레지스트 층과 같은 감광 층을 포함할 수 있고, 그런 다음 이 잠재 패턴은 포토 레지스트 층의 선택된 부분을 용해 및 제거함으로써 릴리프 패턴으로 현상될 수 있다. 일단 릴리프 패턴이 형성되면, 반도체 기판은 플라즈마 프로세싱 챔버 내에 배치되고, 보호 층을 최소한으로 에칭하면서 하부 층을 선택적으로 에칭하는 에칭 화학적 작용이 수행된다.
이 에칭 화학적 작용은 보호 층 또는 패턴화 층과 최소한으로 반응하면서 하부 층과 반응하는 분자 성분을 포함하는 모체 분자를 갖는 이온화 가능한 해리성 가스 혼합물을 도입함으로써 생성된다. 에칭 화학적 작용의 생성은 가스 혼합물의 도입, 및 존재하는 가스 종의 일부가 활동적인 전자와의 충돌 후에 이온화될 때의 플라즈마의 형성을 포함한다. 가열된 전자는 가스 혼합물의 일부 종을 해리시키고 화학 성분(모체 분자의 화학 성분)의 반응성 혼합물을 생성하는 역할을 할 수 있다. 따라서, 다양한 기판 물질이 다양한 패턴화 및 에칭 공정을 사용하여 제어 가능하게 제거되거나 증착될 수 있다.
트랜지스터, 메모리 어레이, 및 다른 반도체 디바이스를 줄이거나 축소시켜 밀도를 높이고 프로세싱 성능을 향상시키기 위한 지속적인 추진이 있었다. 반도체 디바이스 피처의 임계 치수가 축소됨에 따라, 제조 공정에서 구조물을 정확하게 제조하고 다양한 층들을 정확하게 에칭하는 것이 더욱 어려워진다. 예를 들어, 특히 서브 해상도(서브 리소그래픽 해상도) 패턴화가 필요할 경우, 콘택, 메모리 어레이 교차점 아키텍처, 슬롯 콘택 등에 대해 정확하게 에칭하는 것이 점점 더 어려워지고 있다. 특정한 예로서, 트랜지스터의 임계 치수가 축소됨에 따라, 소스 및 드레인에 대한 정확한 연결부를 만드는 것이 더욱 어려워진다. 이것은 특히 FinFET 트랜지스터의 경우에 사실이다. 예를 들어, (리소그래픽 해상도 내에서) 80 나노미터 이상의 게이트 피치를 고려하면, 소스 또는 드레인 콘택 에칭을 위한 마스크 패턴이 종래의 패턴화 기술을 사용하여 트랜지스터의 게이트들 사이에 일관성 있게 도달하고, 보호캡 층은 사용하지 않는다. 그러나, 축소된 게이트 피치를 이용하면, 리소그래픽 오버레이 오정렬이 중요한 문제가 된다. 오정렬로 인해, 에칭 패턴은 게이트와 소스 또는 드레인 모두에 중첩될 수 있기 때문에, 에칭 단계는 소스/드레인과 게이트 전극 사이의 단락을 생성할 수 있다.
서브 해상도 피처를 에칭하는 한 가지 기술은, 기존의 비희생 또는 플레이스 홀더 구조물이 콘택과 같은 피처를 에칭하기 위한 마스크로서 사용되는 자기 정렬 에칭 기술이다. 자기 정렬 에칭 기술은 에칭 선택도에 크게 의존하는데, 에칭될 구조물과 남아 있을 구조물 모두인 기존 구조물이 에천트에 노출되기 때문이다. 그러나, 에칭 선택도는 완전하지 못하며, 이러한 불완전성은 결함, 오염, 손상된 프로파일 등으로 이어지는 하나 이상의 물질의 바람직하지 않은 에칭을 야기한다. 자기 정렬 에칭을 돕고 필요하거나 기존 구조물의 손실을 방지하는 한 가지 기술은 보호 층을 추가하는 것이다. 예를 들어, 게이트 캡이 자기 정렬 콘택(self-aligned contact; SAC) 에칭의 일부로서 보호 캡으로 기능함으로써 이러한 오버레이 에러를 보완하기 위해 사용될 수 있다. 게이트 캡은 소스/드레인 콘택을 위한 채널을 생성하기 위해 에칭될 때 게이트 구조물을 보호하는 것을 도울 수 있다. 이러한 보호 캡은 여전히 문제없는 해결책이 아니다. 이러한 캡은 통상적으로 적절한 에칭 보호를 위해 너무 얇다. 그러나 단순히 캡 높이를 증가시키는 것은 바람직한 해결책이 아닌데, 이것은 종횡비를 증가시켜 게이트 에칭을 더 어렵게 만들고, 또한 후속의 공백 없는 산화물 충전을 더 어렵게 만들기 때문이다. 더 짧은 캡을 사용하는 다른 문제점은, 노출된 캡 코너가 더 높은 스퍼터 수율을 가지므로 더 빨리 침식되어 단락을 초래한다는 것이다.
그러나, 본 명세서의 기술은 패턴을 패턴화하거나 맞추기 위해 기존 피처를 사용하지만, 기존 피처를 손상시키지 않는 자기 정렬 에칭 방법을 제공한다. 즉, 본 명세서의 기술은 리소그래피로 패턴화된 층을 필요로 하지 않으면서, 에칭 가이드로서 기판 상의 기존 피처를 사용하여 에칭을 가능하게 한다. 따라서, 본 명세서의 기술은 기존 구조물을 손상시키지 않으면서 정확한 (자기 정렬) 서브 해상도 에칭을 제공한다.
본 명세서의 기술은 별도의 리소그래피 패턴화 층 없이 블록 공중합체(block copolymer; BCP)의 직접 자기 조립(direct self-assembly; DSA)을 가능하게 하는 표면을 생성하기 위해 기존 기판 구조물을 사용한다. 본 명세서의 방법은 기판 상의 적어도 하나의 기존 물질 또는 구조물을 리세스(recess)하는 단계와, 리세스된 물질에만 남는 필름을 추가하는 단계를 포함한다. 이 필름은 블록 공중합체의 제어된 자기 조립을 가능하게 할 수 있는 우선적인 표면 에너지를 갖도록 선택될 수 있다. 그런 다음, 기판은 에칭 마스크로서 기존 구조물 및 하나의 중합체 물질을 모두 사용하여 에칭될 수 있다. 하나의 예시적인 장점은, 자기 조립 중합체 물질이 기존 피처의 노출된 코너를 보호하기 위해 위치할 수 있는 것으로, 이는 선택적인 에칭 화학적 작용의 부담을 감소시키고, 후속 에칭의 정밀도를 증가시키며, 스퍼터 수율을 감소시킨다.
본 명세서의 기술에 대한 하나의 예시적인 응용이 자기 정렬 패턴화에 적용될 수 있다. 제 1 물질의 제 1 구조물, 제 2 물질의 제 2 구조물, 및 제 3 물질의 제 3 구조물을 갖는 기판이 제공된다. 제 2 물질은 제 1 물질 및 제 3 물질과는 상이하다. 제 1 구조물 및 제 3 구조물은 모두 제 2 구조물에 대하여 대략 수직 인터페이스를 갖는다. 제 1 구조물은 제 2 구조물의 제 1 측면 상에 배치되고, 제 3 구조물은 제 2 구조물의 대향 측면 상에 배치된다. 제 1 구조물의 상단 표면, 제 2 구조물의 상단 표면, 및 제 3 구조물의 상단 표면은 모두 수평이고 실질적으로 서로 동일 평면 상에 있다.
제 2 구조물의 결과적인 상단 표면이 제 1 구조물의 상단 표면보다 수직 방향으로 낮고 제 3 구조물의 상단 표면보다 수직 방향으로 낮도록 제 2 구조물의 상부가 제거된다. 평탄화 층이 기판 상에 증착된다. 평탄화 층은 제 1 구조물, 제 2 구조물, 및 제 3 구조물을 커버한다. 이 평탄화 층은 수평으로 평탄한 상단 표면을 제공한다. 용해도 변경제(solubility-changing agent)가 평탄화 층의 상단 표면 상에 증착된다. 그런 다음, 용해도 변경제가 평탄화 층의 상단 표면의 용해도를 변경시키도록 용해도 변경제는 활성화될 수 있다. 평탄화 층의 이러한 상부는 평탄화 층의 상단 표면으로부터 적어도 제 1 구조물 및 제 2 구조물의 상단 표면까지 수직으로 연장된다. 그런 다음, 평탄화 층 물질이 제 1 구조물 및 제 3 구조물의 상단 표면으로부터 제거되도록 평탄화 층의 상부는 제거된다. 평탄화 층 물질의 필름 또는 일부가 사전 패턴 필름으로서 제 2 구조물의 결과적인 상단 표면 상에 남아 있다.
이제, 기판은 기존 구조물이 이제 표면 에너지 차별을 갖는다는 점에서 블록 공중합체의 직접 자기 조립을 위한 화학적 패턴을 제공한다. 또한, 기판은 상이한 표면 높이를 가질 수 있으며, 이에 의해 블록 공중합체의 직접 자기 조립을 위한 지형 또는 릴리프 사전 패턴을 추가적으로 제공할 수 있다. 그런 다음, 블록 공중합체의 혼합물이 기판 상에 증착될 수 있다. 블록 공중합체의 상 분리(phase separation)가 활성화되어 에칭 저항성이 있는 제 1 중합체 물질의 중합체 구조물이 에칭 공정 동안 에천트에 대한 제 1 구조물 및 제 2 구조물의 코너의 노출을 방지하기 위한 위치에 배치되도록 우선적인 자기 조립을 야기할 수 있다. 제 2 중합체 물질의 중합체 구조물을 제거한 후에, 자기 정렬 에칭 공정이 기존 구조물을 손상시키지 않고 정확하게 실행될 수 있다. 블록 공중합체의 직접 자기 조립을 가능하게 하는 포토 리소그래피 패턴화 단계를 제거하는 것은 제조 비용을 상당히 감소시킨다.
물론, 본 명세서에 설명된 바와 같이 상이한 단계들의 논의 순서는 명확함을 위해 제시되었다. 일반적으로, 이러한 단계들은 임의의 적절한 순서로 수행될 수 있다. 게다가, 본 명세서의 상이한 특징들, 기술들, 구성들 등 각각이 본 개시의 상이한 장소들에서 논의될 수 있지만, 각각의 개념들이 서로 독립적으로 또는 서로 조합되어 실행될 수 있다는 것이 의도된다. 따라서, 본 발명은 많은 상이한 방식으로 구체화되고 보여질 수 있다.
이 요약 부분은 모든 실시예 및/또는 본 개시 또는 청구된 발명의 점진적 신규한 양상을 특정하지는 않는다는 것을 유의한다. 대신, 이 요약은 종래 기술에 비해 상이한 실시예 및 대응하는 신규한 점에 대한 예비 논의만을 제공한다. 본 발명 및 실시예들의 추가 세부 사항 및/또는 가능한 관점에 대해, 독자는 하기에서 더 논의되는 바와 같은 상세한 설명 부분 및 본 개시의 대응하는 도면을 참조한다.
본 발명의 다양한 실시예들 및 이들의 다수의 수반되는 장점들에 대한 보다 완전한 이해는 첨부 도면과 관련하여 고려되는 다음의 상세한 설명을 참조하면 용이하게 명백해질 것이다. 도면은 반드시 실척도인 것은 아니며, 대신에 특징, 원리 및 개념을 설명하기 위해 놓여진 것임을 강조한다.
도 1은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 2는 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 3은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 4는 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 5는 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 6은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 7은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 8a 및 도 8b는 본 명세서에 개시된 실시예들에 따른 대안적인 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 9a 및 도 9b는 본 명세서에 개시된 실시예들에 따른 대안적인 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 10은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 11은 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
도 12는 본 명세서에 개시된 실시예들에 따른 공정 흐름을 도시하는 예시적인 기판 세그먼트의 개략적인 횡단면도이다.
본 명세서의 기술은 블록 공중합체의 직접 자기 조립의 사전 패턴화를 포함하는 패턴화를 위한 기존 구조물을 사용하는 자기 정렬 에칭 방법을 제공한다. 본 명세서의 방법은 리소그래피로 패턴화된 층을 필요로 하지 않으면서, 에칭 가이드로서 기판 상의 기존 피처를 사용하여 에칭을 가능하게 한다. 따라서, 본 명세서의 기술은 자기 정렬 기술을 사용함으로써 기존 구조물 또는 디바이스의 기능을 손상시키지 않으면서 정확한, 서브 해상도 에칭을 제공한다.
본 명세서의 기술은 별도의 리소그래픽 패턴화 층 없이 블록 공중합체의 직접 자기 조립을 가능하게 하는 표면을 생성하기 위해 기존 기판 구조물을 사용한다. 본 명세서의 방법은 적어도 하나의 물질을 리세스하는 단계와, 리세스된 물질에만 남는 필름을 추가하는 단계를 포함한다. 이 필름은 제어된 자기 조립을 가능하게 하는 우선적인 표면 에너지를 갖는다. 그런 다음, 기판은 에칭 마스크로서 기존 구조물 및 하나의 중합체 물질 모두를 사용하여 이방성으로 에칭될 수 있다. 하나의 예시적인 장점은, 자기 조립 중합체 물질이 기존 피처의 노출된 코너를 보호하기 위해 위치하여 후속 에칭의 정밀도를 증가시키고, 스퍼터 수율을 감소시킬 수 있다.
본 명세서의 방법은 자기 정렬 에칭 기술을 가능하게 한다. 자기 정렬 에칭은 기준 정렬 마크를 사용하는 간접 정렬과는 대조적으로, 에칭되는 위치가 하부 피처들과 정렬되거나 하부 피처들에 직접적으로 맞춰지는 것을 보장하기 위해 사용되는 제조 기술이다. 예를 들어, 자기 정렬 콘택 에칭을 사용하면, 이러한 에칭 기술은 에칭 공정이 소스/드레인에는 도달하지만 게이트에는 전기적으로 단락되지 않는 콘택을 위한 개구부를 에칭하는 것을 보장한다. 본 명세서의 자기 정렬 에칭 기술은 콘택 에칭, 슬롯 콘택, 메모리 어레이와 같은 매우 다양한 응용에서 개구부 물질을 위해서뿐만 아니라 BEOL(back-end-of-line) 동작과 같은 자기 정렬 비아(self-aligned via; SAV)를 위해서 사용될 수 있다. 그러나, 본 명세서의 실시예들을 설명하는데 있어서 편의상, 예시적인 설명 및 예시는 주로 소스/드레인 콘택 배치를 위한 자기 정렬 콘택 에칭에 초점을 맞출 것이다.
일 실시예는 자기 정렬 패턴화를 위한 방법을 포함한다. 이제 도 1을 참조하면, 예시적인 기판의 개략적인 횡단면도가 도시되어 있다. 이 방법에서, 제 1 물질의 제 1 구조물(111), 제 2 물질의 제 2 구조물(112), 및 제 3 물질의 제 3 구조물(113)을 갖는 기판(100)이 제공된다. 이러한 제 2 물질은 제 1 물질과 상이하고 또한 제 3 물질과도 상이하다. 일부 실시예들에서, 제 1 물질 및 제 3 물질은 동일할 수 있다. 제 1 구조물(111) 및 제 3 구조물(113)은 모두 제 2 구조물(112)에 대하여 대략 수직 인터페이스를 갖는다. 반도체 제조에서, 구조물은 수직축에 대해 약간의 각도로 경사진 수직 표면을 갖도록 (또는 물질 한계로 인해 수직 표면을 야기하도록) 제조된다. 예를 들어, 이 각도는 최대 10도 이상일 수 있으며, 이 문맥에서는 여전히 수직 표면 또는 인터페이스인 것으로 간주된다. 사실, 이러한 경사는 피처들 사이를 충전할 때 빈 공간을 피하는 것을 도울 수 있다. 제 1 구조물(111)은 제 2 구조물(112)의 제 1 측면 상에 배치되고, 제 3 구조물(113)은 제 2 구조물(112)의 대향 측면 상에 배치된다. 다시 말해서, 제 1 구조물 및 제 3 구조물은 한 쌍의 구조물을 형성하고, 제 2 구조물이 그 한 쌍의 구조물 사이에 있다. 제 1 구조물의 상단 표면, 제 2 구조물의 상단 표면, 및 제 3 구조물의 상단 표면은 모두 수평이고 실질적으로 서로 동일 평면 상에 있다.
제 1 구조물(111)은 교체 금속 게이트 구조물을 생성하기 위해 사용되는 것과 같이 영구적이거나 부분적으로 희생적인 게이트 구조물일 수 있다. 간략화를 위해, 제 1 구조물(111)은 단일 물질로 도시되어 있지만, 실제로 이러한 구조물은 텅스텐 플러그, 하이-K(high-K) 물질, 일 함수 금속, 및 측벽 스페이서 및 캡을 포함할 수 있으며, 캡 및 측벽은 실리콘 질화물로 만들어질 수 있다. 제 2 구조물(112)을 생성하기 위해 사용되는 제 2 물질은 산화물 물질일 수 있다. 도 1에 도시된 예시적인 시작점을 위해 기판을 제조하는 것은, 초기에 기판 및/또는 게이트 구조물들을 커버하고 그런 다음 도 1에 도시된 개략적인 횡단면도를 산출하기 위해 평탄화되는 유동성 산화물 물질로 게이트 구조물들 사이의 갭을 충전하는 단계를 포함할 수 있다. 평탄화는 공지된 기술인 화학적 기계적 연마(chemical mechanical polishing; CMP)를 사용하여 달성될 수 있다. 도 1의 산화물 물질은 소스/드레인(107)을 커버할 수 있다. 층(105)은 하나 이상의 하부 층들 및/또는 구조물들을 포함할 수 있다.
그런 다음, 주어진 종래의 자기 정렬 콘택 에칭 기술이 소스/드레인(107)이 노출될 때까지 게이트 구조물 물질에 관한 산화물 물질의 에칭을 지정할 수 있다. 불행하게도, 산화물 물질이 건식 플라즈마 에칭을 사용하여 에칭되어 제거되기 때문에, 제 1 구조물(111) 및 제 3 구조물(113)의 코너가 플라즈마 구성 성분에 노출되어 에칭 화학적 작용이 실리콘 질화물 물질에 대한 높은 선택도를 가질 때조차도 더 빨리 에칭되기 시작한다. 표면 위에서의 임의의 활동적인 이온의 스퍼터 수율은 그것의 입사각과 관련 된다. 따라서, 수평 표면 상의 스퍼터 수율은 코너 상의 스퍼터 수율보다 훨씬 낮다. 그러나, 노출된 코너는 본질적으로 코너 라운딩 및 가속 에칭을 야기하고, 이는 보호된 게이트 물질을 드러내어 단락을 일으킬 수 있다.
이제 도 2를 참조하면, 구조물들 중 하나의 구조물의 평탄화된 물질의 부분 에칭일 수 있는 물질 리세스 또는 산화물 리세스 공정이 실행된다. 대안적으로, 선택적 증착이 물질들 중 하나의 물질의 높이를 증가시킬 수 있다. 예시적인 도면에서, 이러한 물질 제거는 제 2 구조물(112) 및 동일한 물질의 구조물에 대응하는 평탄화된 산화물 필름 물질의 부분 에칭일 수 있다. 하나의 공정 단계에서, 제 2 구조물(112)의 결과적인 상단 표면(117)(리세스된 표면)이 제 1 구조물의 상단 표면보다 수직 방향으로 낮고(118), (제 1 구조물 및 제 3 구조물이 동일 평면 상에 있기 때문에) 제 3 구조물 보다도 수직 방향으로 낮도록 제 2 구조물(112)의 상부가 제거된다. 도 2에서, 제 2 구조물(112)의 상부를 제거함으로써, 제 2 구조물(112)은 이제 제 1 구조물(111) 및 제 3 구조물(113) 아래로 리세스된 것으로 나타난다는 것을 유의한다.
제 2 구조물(112)의 상부를 제거하는 것은, 제 1 물질 및 제 3 물질에 관해 제 2 물질을 선택적으로 에칭하는 에칭 공정을 실행하는 것을 포함할 수 있다. 일부 실시예들에서, 에칭 공정을 실행하는 것은, 비플라즈마 가스 에칭 공정을 실행하는 것을 포함할 수 있다. 이러한 비플라즈마 가스 에칭 공정은 플루오르화 수소(HF) 및 암모니아(NH3)를 사용하여 화학적 산화물 제거(chemical oxide removal; COR) 공정을 실행하는 것을 포함할 수 있다. 대안적으로, 에칭 공정을 실행하는 것은 플라즈마 기반 반응성 이온 에칭을 실행하는 것을 포함할 수 있다. 따라서, 미리 결정된 에칭 화학적 작용(하나 이상)이 다른 물질에 대해 하나의 물질을 선택적으로 에칭하기 위해 사용될 수 있다. 에칭될 기판 내의 주어진 물질에 따라, 애싱 및 습식 에칭과 같은 다른 제거 공정들이 사용될 수 있다. 비산화물 물질의 경우, 원자 층 에칭(atomic layer etching; ALE)이 실행될 수 있다. 다른 기술들은 선택적 증착 및 제거, 선택적 원자 층 증착(atomic layer deposition; ALD), 평탄화, 및 에치 백을 포함할 수 있다. 일부 실시예들에서, 제 2 구조물(112)을 리세스하는 대신에 이러한 구조물들을 형성하도록 제 1 구조물(111) 및 제 3 구조물(113) 상의 선택적 증착이 실행될 수 있다.
COR 산화물 제거가 고도로 제어 가능하기 때문에, 이 리세스 단계를 위해 화학적 산화물 제거를 사용하는 것이 유리할 수 있다. 예시적인 화학적 산화물 제거는 약 2:1의 비율로 HF와 NH3의 혼합물을 사용하고, 반응이 25 ℃에서 15mTorr 미만의 압력에서 수행되어 고체 암모늄 헥사플루오로규산염을 형성하고, 이어서 100 ℃ 이상의 온도에서의 증발이 뒤따른다. 따라서, 자기 한정 변형 층이 기판으로부터 열적으로 승화될 수 있다. 이러한 COR 처리는 일반적으로 알려져 있다. 이러한 화학적 산화물 제거는 정밀한 트림 또는 에칭 깊이를 위해 매우 제어된 공정을 가능하게 한다.
화학적 산화물 제거 단계 또는 물질을 부분적으로 제거하는 (리세스를 생성하는) 대안적인 단계를 완료한 후에, 기판(100)은 더 이상 완전히 평탄하지 않고, 이제는 지형을 정의한다. 반도체 제조 물질 대부분(웨이퍼 상에 남아 있음)은 블록 공중합체의 효과적인 직접 자기 조립을 위해 사용 가능한 중립 층을 제공하지 않는다. 따라서, 본 명세서의 기술은 우선적인 표면 에너지 차별 또는 습윤 각도 차별을 제공하는 물질을 필수적으로 제 2 구조물(112)에 추가한다. 이러한 물질의 증착은 수직 용해도 이동 및 층 현상이 뒤따르는 현상 가능한 물질의 오버코트를 증착하는 것을 포함할 수 있다.
이제 도 3를 참조하면, 평탄화 층(130)이 기판(100) 상에 증착된다. 이 평탄화 층(130)은 제 1 구조물(111), 제 2 구조물(112), 및 제 3 구조물(113)을 커버한다. 평탄화 층은 수평으로 평탄한 상단 표면(131)을 갖는다. 평탄화 층(130)은 용해도 변경제와의 접촉, 또는 용해도 변경제로부터의 영향에 반응하여, 용해도를 변경시킬 수 있는 용해도 변경 가능 물질을 포함할 수 있다. 일부 실시예들에서, 이 물질은 유기 물질 및/또는 포토 레지스트이다. 비제한적인 실시예로서, 평탄화 층을 증착하는 것은, 실리콘 함유 물질을 포함할 수 있는 현상 가능 반사 방지 코팅을 증착하는 것을 포함할 수 있다.
이제 도 4를 참조하면, 평탄화 층(130)의 상단 표면(131) 상에 용해도 변경제(140)가 증착된다. 일부 실시예들에서, 용해도 변경제는 산을 포함하고/하거나, 증기 노출 증착을 사용하여 증착될 수 있다. 대안적인 실시예들은 액체 물질의 스핀 온(spin-on) 증착을 통해 이 물질을 증착할 수 있다.
이제 도 5를 참조하면, 용해도 변경제가 평탄화 층(130)의 상부(132)의 용해도를 변경시키도록 용해도 변경제는 활성화된다. 평탄화 층(130)의 이러한 상부(132)는 평탄화 층의 상단 표면으로부터 적어도 제 1 구조물(111)의 상단 표면 및 제 3 구조물(113)의 상단 표면까지 수직으로 연장된다. 예시적인 깊이가 도 5의 상부(132)에 대응한다. 다시 말해서, 예를 들어, 코터/현상기 툴 상에서 실행될 수 있는 현상 가능 평탄화를 가능하게 하는 산 확산 공정이 실행될 수 있다. 일부 실시예들에서, 용해도 변경제를 활성화시키는 것은, 용해도 변경제가 평탄화 층(130) 내에 미리 결정된 깊이까지 확산되고 평탄화 층의 상부의 용해도를 변경시키도록 용해도 변경제를 가열하는 것을 포함할 수 있다. 다른 실시예들에서, 용해도 변경제를 가열하는 것은, 용해도 변경제의 확산이 대략 미리 결정된 깊이에서 정지하도록 파라미터들을 제어하는 것을 포함한다. 이러한 거리 기반 산 확산 및 현상 단계를 실행하는 것에 관한 더 상세한 내용은 그 전체가 본 명세서에 참조로 포함된 2013년 11월 8일자에 출원된 발명의 명칭이 "Method for Chemical Polishing and Planarization"인 미국 특허 출원 일련 번호 61/901,768에서 발견될 수 있다.
이제 도 6을 참조하면, 평탄화 층 물질이 제 1 구조물(111)의 상단 표면 및 제 3 구조물(111)의 상단 표면으로부터 제거되도록 평탄화 층(130)의 상부(132)는 제거된다. 이 제거는 제 2 구조물(112)의 결과적인 상단 표면 상에 남아 있는 사전 패턴 필름(137)을 남긴다. 사전 패턴 필름(137)은 (상부(132)를 제거한 후에) 남아 있는 평탄화 층 물질로 구성된 필름이다. 일 실시예에서, 사전 패턴 필름(137)은 제 1 물질 및 제 2 물질의 습윤 각도와는 상이한 습윤 각도를 갖는다. 다시 말해서, 도 6의 기판(100)은 이제 블록 공중합체의 직접 자기 조립을 위한 케모 에피택시(chemo-epitaxy) 기초를 제공하는 상이한 표면 에너지 또는 상이한 습윤 각도의 상단 표면을 갖는다. 비제한적인 예로서, 현상 가능 반사 방지 코팅 물질과 실리콘 질화물 사이의 소수성 및/또는 친수성 차이가 상 분리를 위한 주형을 제공하는 것을 도울 수 있다.
표면 에너지의 이러한 차별을 제공하기 위해, 평탄화 층 물질(상부)은 적어도 제 1 구조물 및 제 3 구조물의 상단 표면까지 제거될 필요가 있다. 평탄화 층 물질을 제 1 구조물 및 제 3 구조물의 상단 표면 아래의 레벨까지 제거하는 것은, 케모 에피택셜 표면에 더하여 그래포 에피택셜 표면(grapho-epitaxial surface)을 제공할 수 있다. 따라서, 일부 실시예들에서, 제 1 구조물의 측벽과 제 3 구조물의 측벽이 노출되어 블록 공중합체의 자기 조립을 위한 릴리프 패턴을 제공하도록 사전 패턴 필름(137)의 상단 표면이 제 1 구조물(111)의 상단 표면 및 제 3 구조물(113)의 상단 표면보다 낮다. 다시 말해서, 특정 시간의 양 동안 광산을 화학적으로 확산시킨 다음 새로운 용해 가능 물질을 현상하는 것은, 그래포 에피택시 및/또는 케모 에피택시를 실행하기 위한 구조물을 제공한다. 일부 실시예들에서, 화학적 산화물 제거(물질 리세스)만을 실행하는 것은, 직접 자기 조립을 위한 그래포 에피택시 패턴을 제공할 수 있다는 것을 유의한다. 그런 다음, 이 그래픽 패턴은 평탄화 층 물질 표면 에너지 특성에 의해 향상될 수 있다.
이제 도 7을 참조하면, 블록 공중합체 혼합물(150)이 기판(100) 상에 증착될 수 있다. 증착은 액체 혼합물의 스핀 온 증착을 통해 실행될 수 있다. 특정 블록 공중합체 혼합물의 선택은, 혼합물 내의 동반 물질과 비교하여 에칭 저항성이 있는 하나의 물질을 선택하는 것을 포함할 수 있다.
이제 도 8a를 참조하면, 자기 조립이 사전 패턴 필름(137) 상에 배치되고 제 1 구조물(111) 및 제 2 구조물(112)의 (대략) 수직 인터페이스와 정렬되는 제 1 중합체 구조물(151)의 측벽과 함께 배치되는 제 1 중합체 물질의 제 1 중합체 구조물(151)을 야기하도록 블록 공중합체 혼합물(150)의 상 분리가 발생된다. 또한, 자기 조립은 사전 패턴 필름(137) 상에 배치되고 제 3 구조물(113) 및 제 2 구조물(112)의 대략 수직 인터페이스와 정렬되는 제 2 중합체 구조물(152)의 측벽과 함께 배치되는 제 1 중합체 물질의 제 2 중합체 구조물(152)을 야기한다. 또한, 자기 조립은 제 1 중합체 구조물(151)과 제 2 중합체 구조물(152) 사이에 배치된 제 2 중합체 물질의 제 3 중합체 구조물(153)을 야기한다. 다시 말해서, 사전 패턴 필름(137)의 에지에서 제 1 중합체 물질의 중합체 구조물이 자기 조립된다. 이것은 사전 패턴 필름을 갖는 다른 구조물 상에서 반복된다. 제 1 구조물(111) 및 제 3 구조물(113) 상에서, 제 1 물질 및 제 2 물질의 중합체 구조물은 또한 중합체 구조물의 교번 패턴으로 자기 조립된다.
사전 패턴 필름(137)의 상단 표면이 제 1 구조물(111)의 상단 표면 및 제 3 구조물(113)의 상단 표면 아래에 배치되는 실시예들에서, 주어진 블록 공중합체 혼합물의 증착 전에 노출되는 제 3 구조물(113) 및 제 1 구조물(111)의 측벽들이 있다. 이러한 실시예들에서, 제 1 중합체 구조물(151)의 측벽이 제 1 구조물(111)의 측벽과 인접해 있고, 제 2 중합체 구조물(152)의 측벽이 제 3 구조물(113)의 측벽과 인접해 있다. 다시 말해서, 적어도 세 개의 중합체 구조물들이 사전 패턴 필름(137) 상에서 자기 조립된다. 세 개의 중합체 구조물이 리세스된 구조물 위에 자기 조립되도록 블록 공중합체 체인 길이 및 물질 타입이 선택될 수 있다. 주어진 공간(예컨대, 게이트 구조물들 간의 공간) 내에 형성되는 다수의 라인은 트렌치 또는 템플릿의 주어진 임계 치수(critical dimension; CD)에 기초하여 특정 체인 길이를 갖는 블록 공중합체를 선택함으로써 조정 가능하다. 예를 들어, 주어진 템플릿 CD가 7nm 인 경우, 제 1 에칭 저항성 공중합체가 노출된 에지 또는 코너를 따라 라인을 형성하도록 블록 공중합체의 체인 길이는 트렌치 내에서 세 개의 라인(중합체 구조물)으로의 상 분리를 위해 선택된다.
일부 실시예들에서, 제 1 중합체 물질은 제 2 중합체 물질에 관해 에칭 저항성이 있을 수 있다. 다양한 공중합체 물질이 공지되어 있다. 하나의 일반적인 혼합물은 폴리스티렌(polystyrene; PS)과 폴리메틸 메타크릴레이트(polymethyl methacrylate; PMMA)이다. PS에 관해 PMMA를 제거하기 위해, 아르곤 및 산소로부터 생성된 플라즈마가 PS 성분을 남기면서 PMMA 성분을 에칭하기 위해 사용될 수 있다. 온도 제어, 바이어스 제어와 같은 다양한 파라미터를 조정하는 것은 에칭 선택도를 향상시킬 수 있고, 상부 전극 판에 중첩된 음의 직류를 추가하는 것은 중합체 물질을 타격하도록 플라즈마를 통해 탄도 전자 플럭스를 생성할 수 있다. 다른 실시예들에서, PMMA는 코터/현상기 툴 상에서 습식 화학적 작용을 사용하여 제거될 수 있다.
따라서, 제 1 중합체 구조물(151) 및 제 2 중합체 구조물(152)이 기판 상에 남아 있지만, 제 3 중합체 구조물(153)은 제거될 수 있다. 게다가, 제 3 중합체 구조물(153)과 동일한 물질을 갖는 중합체 구조물도 또한 제거된다. 도 9a는 자기 조립된 중합체 물질들 중 하나의 중합체 물질을 제거한 후의 기판(100)의 예시적인 결과를 도시한다. 그런 다음, 에칭 저항성이 있는 중합체 구조물의 결과적인 패턴은 자기 정렬 에칭 공정의 능력을 향상시키거나 증가시키기 위해 마스크로서 사용될 수 있다. 제 1 구조물(111)의 코너(119)는 이제 제 1 중합체 구조물(151)에 의해 보호된다는 것을 유의한다. 제 1 중합체 구조물(151)의 위치는 후속 에칭 공정 동안 제 1 구조물(111)의 어떠한 노출된 코너도 없어서 스퍼터 수율을 감소시키고, 예를 들어 산화물 물질과 실리콘 질화물 물질 사이의 에칭 선택도를 본질적으로 증가시키는 것을 의미한다. 제 1 구조물(111)의 표면이 여전히 에천트에 노출되지만, 코너 보호된 에칭 선택도는 크게 향상된다.
이제 도 10을 참조하면, 제 2 물질의 제 2 구조물(112)을 통한 에칭을 위한 마스크로서 제 1 구조물(111), 제 3 구조물(113), 제 1 중합체 구조물(151), 및 제 2 중합체 구조물(152)을 사용하는 에칭 단계가 실행될 수 있다. 에칭 화학적 작용 및 기판(100) 상에 사용되는 물질에 따라, 중합체 구조물 및 제 1 구조물(111) 및 제 3 구조물(113)의 약간의 풀 다운(pull-down)이 있을 수 있다. 그러나, 본 명세서의 기술을 사용하면, 특별히 단락이나 바람직하지 않은 성능으로 이어지는 어떠한 코너 라운딩도 없기 때문에, 이 풀 다운은 최소화되거나 허용 가능하다. 제 1 중합체 구조물(151) 및 제 2 중합체 구조물(152)의 추가의 마스크 요소는 또한 한 쌍의 게이트 또는 다른 구조물들의 중앙에 있는 콘택이, 예를 들어 질화물 스페이서의 측벽과 접촉하지 않는다는 것을 보장한다. 측벽 상에 유전체를 유지하는 것은 절연 파괴에 대한 낮은 가능성을 의미한다.
이 에칭 공정을 완료한 후에, 남아 있는 중합체 물질 및 평탄화 물질이 기판으로부터 제거될 수 있다. 이러한 제거는 물질 조성에 따라 다단계로 실행될 수 있다. 중합체 물질은 통상적으로 애싱 공정을 사용하여 제거될 수 있다. 도 11은 이러한 에칭 단계의 예시적인 결과를 도시한다. 추가의 제조 단계들이 이제 계속될 수 있다. 특정 예에서, 하부 소스/드레인을 드러내기 위해 개구부가 에칭되었다. 그런 다음, 에칭된 콘택 개구부는 금속으로 충전될 수 있고, 이는 금속 과충전을 포함할 수 있으며, 이어서 도 12에 도시된 바와 같은 예시적인 기판 구조물을 초래할 수 있는 화학적 기계적 연마(CMP) 단계가 수행될 수 있다.
본 명세서의 예시적인 실시예들은 블록 공중합체의 라인/공간 자기 조립의 맥락에서 주로 기술되었다. 본 명세서의 기술은 라인/공간 패턴화에 제한되는 것이 아니라, 원통 및 홀 패턴화에도 또한 사용될 수 있다는 것을 유의한다. 따라서, DSA 패턴을 기존 기판의 선 모양 또는 슬롯 모양 구조물에 맞추는 것에 더하여, 주어진 기판의 원통 모양 구조물에 DSA 패턴을 또한 맞출 수 있다. 예를 들어, 도 1의 제 2 구조물(112)은 제 1 구조물(111) 및 제 3 구조물(113)을 갖는 원통형 구조물일 수 있고, 제 1 구조물(111) 및 제 3 구조물(113) 모두는 제 2 구조물(112)을 둘러싸는 동일한 구조물 또는 물질 층이다. 그런 다음, 전술한 바와 같은 후속 공정 흐름이 사전 패턴 원통형 구조물에 적용될 수 있다. 원통형 구조물은 기판의 평탄한 상단 표면 아래로 리세스된다. 현상 가능 물질이 기판에 도포된다. 그런 다음, 탑 다운(top-down) 산 확산 공정이 현상 가능 물질의 상부의 용해도를 변경시킨 다음 용해되고 제거되어, 원통형 구조물 상에 현상 가능 물질만을 남긴다. 그 결과는 블록 공중합체의 우선적인 자기 조립을 위한 바람직한 표면 에너지 차별을 갖는 기판 표면이다. 다시 말해서, 주어진 기판은 기판 상의 기존 구조물에 맞춰진 기능적 케모 에피택셜 DSA 사전 패턴을 제공한다. 현상 가능 물질의 상단 표면이 기판의 초기 상단 표면 아래에 배치되거나 리세스되면, 자기 정렬 그래포 에피택셜 및 케모 에피택셜 하이브리드 사전 패턴이 생성된다. 특정 임계 치수에 대해 블록 공중합체 혼합물에 대한 특정 블록 공중합체 체인 길이를 선택함으로써, 우선적인 자기 조립이 발생할 수 있다. 예를 들어, 하나의 결과는 제 2 구조물(112)의 중심점에 형성된 제 2 중합체 물질의 내부 원통일 수 있고, 또한 내부 원통을 둘러싸고 제 2 구조물(112)의 에지 부분까지 연장되어 제 2 구조물(112)을 둘러싸는 물질의 임의의 노출된 코너를 보호하는 외부 원통(중공 원통)일 수 있다.
이러한 기술의 하나의 이점은, 콘택 개구부 에칭에 대한 개선된 자기 정렬이다. 본 명세서의 기술을 사용하면, 이러한 구조물을 패턴화하거나 기존 게이트와 정렬하기 위해 193nm 스테퍼의 정밀도에 의존할 필요가 없다. 따라서, 본 명세서의 기술은 제조 비용을 실질적으로 감소시킬 수 있는 두 개의 중요한 스테퍼 패스를 제거할 수 있다.
상술한 바와 같이, 본 명세서의 기술은 콘택 에칭, 슬롯 콘택, 메모리 어레이, 자기 정렬 비아(SAV) 등과 같은 몇몇 상이한 타입의 제조 작업에 적용될 수 있다. 이해될 수 있는 바와 같이, 본 명세서의 방법에 대한 사소한 변경 및 추가가 행해져 상이한 제조 응용을 가능하게 할 수 있다. 예를 들어, SAV 응용에서, 실행은 추가 마스크의 자기 정렬 배치를 포함할 수 있고, 이는 노출될 수 있는 티타늄 질화물(titanium nitride; TiN) 물질을 보호하는 데 유용할 수 있다. 다른 실시예들에서, 리소그래피로 적용된 마스크가 특정 메모리 영역을 차단하거나, 특정 간격 비를 생성하거나, 직교 방향으로 패턴을 한정하기 위해 사용될 수 있다.
특정 자기 조립 결과에 대한 중합체 체인 길이 선택에 대한 변경이 또한 이루어질 수 있다. 예를 들어, 자기 정렬 콘택 응용으로, 콘택 개구부 내에 세 개의 DSA 구조물이 조립되는 것이 유리할 수 있는데(도 8a에 도시된 바와 같이 중간 구조물은 나중에 제거됨), 이것은 코너 라운딩으로부터 보호하고 더 좋은 에칭 결과를 위해 인접 구조물들 사이에 콘택 개구부를 정확하게 위치시키기 때문이다. 콘택 개구부 내에 세 개의 DSA 구조물이 형성되면, 두 개 이상의 DSA 구조물이 인접한 구조물 상에 형성되고, 이는 (어떤 코너도 노출되지 않지만) 인접 구조물부터의 물질이 후속 패턴 전사에서 에천트에 노출될 것임을 의미한다. 에천트에 대한 이러한 노출은 (예를 들어, 높은 에칭 선택도 때문에) 특정 물질에 대해 허용 가능하지만, 매우 얇아서 본질적으로 어떠한 허용 가능한 손실량도 갖지 않는 다른 타입의 물질에 대해 또는 물질 층들에 대해 에천트에 대한 어떠한 노출도 허용 가능하지 않다. 예를 들어, 인접 구조물 상의 TiN의 얇은 층이 보호될 필요가 있을 수 있다. 이러한 방식에서, 하나의 DSA 구조물이 에칭될 VIA에 형성되고, 대향 DSA 구조물이 인접한 구조물 상에 형성되어 에천트에 노출되어서는 안 되는 임의의 물질 또는 필름을 완전히 보호하도록 DSA 공중합체 선택이 있을 수 있다.
이제 도 8b를 참조하면, 이러한 일 예시적인 실시예에서, 블록 공중합체를 증착한 후에, 자기 조립이 사전 패턴 필름(137) 상에 배치되고 사전 패턴 필름(137)을 대략 완전히 커버하는 제 1 중합체 물질의 제 1 중합체 구조물(161)을 야기하고, 제 1 중합체 구조물(161)의 제 1 측벽이 제 1 구조물(111) 및 제 2 구조물(112)의 수직 인터페이스와 정렬되며, 제 1 중합체 구조물(161)의 제 2 측벽이 제 2 구조물(112) 및 제 3 구조물(113)의 수직 인터페이스와 정렬되도록 블록 공중합체 혼합물의 상 분리가 발생된다. 또한, 자기 조립은 제 1 구조물(111) 상에 그리고 제 3 구조물(113) 상에 배치된 제 2 중합체 물질의 제 2 중합체 구조물(162)을 야기한다. 그런 다음, 제 1 중합체 구조물(161)은 제거되고, 도 9b에 도시된 바와 같이 후속 에칭 공정을 위해 자기 정렬 마스크를 정의하는 제 2 중합체 구조물(162)을 남긴다. 따라서, 추가의 리소그래픽 패턴화를 요구하지 않고 정의된 패턴을 전사하기 위한 에칭 위치를 정확하게 정의하면서, 인접하거나 주변 구조물 및/또는 물질을 보호하는 자기 정렬 에칭 마스크가 생성된다. 다시 말해서, 본 명세서의 기술은 DSA 물질이 1:1 비율, 1:3 비율, 또는 그렇지 않으면 특별한 설계 목적에 따라, 자기 조립되도록 블록 공중합체 파라미터를 선택하는 것을 포함할 수 있다. 추가적인 처리는 도 10 내지 도 12에 도시된 것과 비슷할 수 있다. 이해될 수 있는 바와 같이, 이러한 공정은 라인/공간 직접 자기 조립뿐만 아니라 블록 공중합체의 콘택/홀 직접 자기 조립에 적용될 수 있으며, 논리 응용, 메모리 응용, 콘택 에칭, 자기 정렬 VIA 등을 위해 사용될 수 있다.
따라서, 본 명세서의 기술들은 레벨 간 자기 정렬을 위해 직접 자기 조립을 사용하는 것을 가능하게 한다. 종래의 기술에서, 포토 레지스트 층 및 포토 리소그래픽 정렬 기술이 사용되기 때문에, DSA를 패턴화하기 위해 상당한 비용 지출이 있다. 높은 비용에 더하여, 포토 리소그래픽 정렬 기술은 특히 서브 해상도 차원에서 오버레이 오정렬로 어려움을 겪을 수 있다. 포토 리소그래피로, 패턴은 다양한 정렬 마크 및/또는 스크라이브 레인과 정렬된다. 따라서, 포토 리소그래픽 패턴은 주어진 기판 상의 기존 구조물에 직접적으로 맞춰지지 않는다. 본 명세서에 기술된 바와 같이 기존 구조물을 사용하여 직접 자기 조립 영역을 격리된 포켓으로 분리함으로써, 블록 공중합체 라인을 유용하게 만들기 위해 어떠한 절단 마스크도 필요하지 않다. 따라서, 본 명세서의 기술은 기존 기판 구조물로부터 패턴을 생성하는 자체 제한적 증기 기반 슬리밍 기술을 제공하며, 이 사전 패턴은 어떤 의미에서는 "프리(free)"로 간주될 수 있다. 이 기존 패턴은 또한 기존 구조물에 직접적으로 맞춰져서 임의의 오정렬 가능성을 제거한다.
본 명세서의 기술은 동일한 초기 높이의 두 개 또는 세 개의 구조물을 갖는 임의의 기판에 적용될 수 있다는 것을 유의한다. 그런 다음, 선택적 습윤 상태가 이전부터 존재하는 기판 구조물을 사용하여 확립되고, 이에 따라 단지 블록 공중합체의 직접 자기 조립을 사용하기 위해, 희생 구조물을 패턴화해야 하는 대신에 하부 구조물에 직접 맞춰지는 우선적인 자기 조립을 위한 DSA 사전 패턴을 제공한다.
전술한 설명에서, 프로세싱 시스템의 특정 기하학적 구조 및 본 명세서에 사용되는 다양한 컴포넌트 및 공정에 대한 설명과 같은 특정 세부 사항이 설명되었다. 그러나, 본 명세서의 기술들은 이러한 특정 세부 사항들로부터 벗어나는 다른 실시예들에서 실시될 수 있고, 그러한 세부 사항들은 설명을 위한 것이며 제한적인 것은 아니라는 것을 이해해야 한다. 본 명세서에 개시된 실시예들은 첨부 도면을 참조하여 설명되었다. 유사하게, 설명을 위해, 특정 번호, 물질 및 구성이 철저한 이해를 제공하기 위해 기재되었다. 그럼에도 불구하고, 실시예들은 이러한 특정한 세부 사항 없이 실시될 수 있다. 실질적으로 동일한 기능 구성을 갖는 컴포넌트는 동일한 참조 부호로 표시되므로, 임의의 중복 설명은 생략될 수 있다.
다양한 기술들이 다양한 실시예들을 이해하는 것을 돕기 위해 다수의 개별 동작들로서 설명되었다. 설명의 순서는 이들 동작들이 반드시 순서에 의존하는 것을 의미하는 것으로 해석되어서는 안 된다. 사실, 이러한 동작들은 제시된 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예들과는 상이한 순서로 수행될 수 있다. 다양한 추가의 동작들이 수행될 수 있고 및/또는 설명된 동작들은 추가의 실시예들에서 생략될 수 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조를 포함할 수 있으며, 예를 들어, 반도체 웨이퍼, 레티클과 같은 베이스 기판 구조, 또는 박막과 같은 베이스 기판 구조 상에 또는 그 위에 있는 층일 수 있다. 따라서, 기판은 패턴화되거나 패턴화되지 않은 임의의 특정 베이스 구조, 밑에 있는 층 또는 위에 있는 층으로 제한되지 않고, 오히려 이러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 이 설명은 특정 타입의 기판을 참조할 수 있지만, 이것은 단지 예시를 위한 것이다.
당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 전술한 기술의 동작에 많은 변형이 있을 수 있음을 이해할 것이다. 이러한 변형은 본 개시의 범위에 포함되는 것으로 의도된다. 이와 같이, 본 발명의 실시예에 대한 앞서 말한 설명은 제한하기 위한 것이 아니다. 오히려, 본 발명의 실시예에 대한 임의의 제한은 다음의 청구 범위에 제시된다.

Claims (20)

  1. 자기 정렬 패턴화 방법에 있어서,
    제 1 물질의 제 1 구조물, 제 2 물질의 제 2 구조물, 및 제 3 물질의 제 3 구조물을 갖는 기판을 제공하는 단계로서, 상기 제 2 물질은 상기 제 1 물질 및 상기 제 3 물질과는 상이하고, 상기 제 1 구조물 및 상기 제 3 구조물은 모두 상기 제 2 구조물에 대하여 수직 인터페이스를 갖고, 상기 제 1 구조물은 상기 제 2 구조물의 제 1 측면 상에 배치되고, 상기 제 3 구조물은 상기 제 2 구조물의 대향 측면 상에 배치되며, 상기 제 1 구조물의 상단 표면, 상기 제 2 구조물의 상단 표면, 및 상기 제 3 구조물의 상단 표면은 모두 수평이고 서로 동일 평면 상에 있는 것인, 상기 기판을 제공하는 단계;
    상기 제 2 구조물의 결과적인 상단 표면이 상기 제 1 구조물의 상단 표면보다 수직 방향으로 낮고 상기 제 3 구조물의 상단 표면보다 수직 방향으로 낮도록 상기 제 2 구조물의 상부를 제거하는 단계;
    상기 기판 상에 평탄화 층을 증착(depositiong)하는 단계로서, 상기 평탄화 층은 상기 제 1 구조물, 상기 제 2 구조물, 및 상기 제 3 구조물을 커버하며, 수평으로 평탄한 상단 표면을 갖는 것인, 상기 평탄화 층을 증착하는 단계;
    상기 평탄화 층의 상단 표면 상에 용해도 변경제(solubility-changing agent)를 증착하는 단계;
    상기 용해도 변경제가 상기 평탄화 층의 상단 표면의 용해도를 변경시키도록 상기 용해도 변경제를 활성화시키는 단계로서, 상기 평탄화 층의 상부는 상기 평탄화 층의 상단 표면으로부터 적어도 상기 제 1 구조물의 상단 표면 및 상기 제 3 구조물의 상단 표면까지 수직으로 연장되는 것인, 상기 용해도 변경제를 활성화시키는 단계; 및
    상기 평탄화 층 물질이 상기 제 1 구조물의 상단 표면으로부터 제거되고 상기 제 3 구조물의 상단 표면으로부터 제거되도록 상기 평탄화 층의 상부를 제거하는 단계로서, 상기 제거는 상기 제 2 구조물의 결과적인 상단 표면 상에 사전 패턴(pre-pattern) 필름을 남기고, 상기 사전 패턴 필름은 남아 있는 평탄화 층 물질로 구성되는 것인, 상기 평탄화 층의 상부를 제거하는 단계
    를 포함하는 자기 정렬 패턴화 방법.
  2. 제1항에 있어서,
    상기 기판 상에 블록 공중합체 혼합물(block copolymer mixture)을 증착하는 단계; 및
    상기 블록 공중합체 혼합물의 상 분리(phase separation)를 발생시키는 단계로서, 자기 조립이 상기 사전 패턴 필름 상에 배치되고 상기 제 1 구조물 및 상기 제 2 구조물의 상기 수직 인터페이스와 정렬되는 제 1 중합체 구조물의 측벽과 함께 배치되는 제 1 중합체 물질의 제 1 중합체 구조물을 야기하고, 또한 상기 사전 패턴 필름 상에 배치되고 상기 제 3 구조물 및 상기 제 2 구조물의 상기 수직 인터페이스와 정렬되는 제 2 중합체 구조물의 측벽과 함께 배치되는 상기 제 1 중합체 물질의 제 2 중합체 구조물을 야기하며, 또한, 상기 제 1 중합체 구조물과 상기 제 2 중합체 구조물 사이에 배치된 제 2 중합체 물질의 제 3 중합체 구조물을 야기하는 것인, 상기 블록 공중합체 혼합물의 상 분리를 발생시키는 단계
    를 더 포함하는 자기 정렬 패턴화 방법.
  3. 제2항에 있어서,
    상기 제 1 구조물의 측벽 및 상기 제 3 구조물의 측벽이 노출되도록, 상기 사전 패턴 필름의 상단 표면이 상기 제 1 구조물의 상단 표면 및 상기 제 3 구조물의 상단 표면 아래에 배치되고;
    상기 제 1 중합체 구조물의 측벽이 상기 제 1 구조물의 측벽과 인접해 있고, 상기 제 2 중합체 구조물의 측벽이 상기 제 3 구조물의 측벽과 인접해 있는 것인, 자기 정렬 패턴화 방법.
  4. 제2항에 있어서,
    상기 제 1 중합체 물질은 상기 제 2 중합체 물질에 비해 에칭 저항성이 있고, 미리 결정된 에칭 화학적 작용(chemistry)이 있는 것인, 자기 정렬 패턴화 방법.
  5. 제2항에 있어서,
    상기 제 1 중합체 구조물 및 상기 제 2 중합체 구조물을 상기 기판 상에 남기고, 상기 제 3 중합체 구조물을 제거하는 단계
    를 더 포함하는 자기 정렬 패턴화 방법.
  6. 제5항에 있어서,
    상기 제 2 물질의 상기 제 2 구조물을 통한 에칭을 위한 마스크로서 상기 제 1 구조물, 상기 제 3 구조물, 상기 제 1 중합체 구조물, 및 상기 제 2 중합체 구조물을 사용하는 에칭 단계를 실행하는 단계
    를 더 포함하는 자기 정렬 패턴화 방법.
  7. 제1항에 있어서,
    상기 기판 상에 블록 공중합체 혼합물을 증착하는 단계; 및
    상기 블록 공중합체 혼합물의 상 분리를 발생시키는 단계
    를 더 포함하고,
    상기 블록 공중합체 혼합물은, 상기 제 1 중합체 물질의 중합체 구조물들이 상기 제 1 구조물과 상기 제 2 구조물의 수직 인터페이스 및 상기 제 3 구조물과 상기 제 2 구조물의 수직 인터페이스에서 상기 사전 패턴 필름의 대향 에지들에 배치되도록, 상기 제 1 구조물과 상기 제 3 구조물 사이의 상기 사전 패턴 필름 상의 적어도 세 개의 구조물로 자기 조립하기 위해 선택되며, 상기 자리 조립은 또한 상기 제 1 중합체 물질의 중합체 구조물들 사이의 상기 사전 패턴 필름 상에 배치된 제 2 중합체 물질의 구조물을 포함하는 것인, 자기 정렬 패턴화 방법.
  8. 제1항에 있어서,
    상기 제 2 구조물의 상부를 제거하는 단계는, 상기 제 1 물질 및 상기 제 3 물질에 관해 상기 제 2 물질을 선택적으로 에칭하는 에칭 공정을 실행하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  9. 제8항에 있어서,
    상기 에칭 공정을 실행하는 단계는, 비플라즈마 가스 에칭 공정을 실행하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  10. 제9항에 있어서,
    상기 에칭 공정을 실행하는 단계는, HF 및 NH3를 사용하여 화학적 산화물 제거 공정을 실행하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  11. 제1항에 있어서,
    상기 사전 패턴 필름은 상기 제 1 물질의 습윤 각도 및 상기 제 2 물질의 습윤 각도와는 상이한 습윤 각도를 갖는 것인, 자기 정렬 패턴화 방법.
  12. 제1항에 있어서,
    상기 평탄화 층을 증착하는 단계는, 현상 가능 반사 방지 코팅을 증착하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  13. 제1항에 있어서,
    상기 용해도 변경제는 산(acid)을 포함하는 것인, 자기 정렬 패턴화 방법.
  14. 제1항에 있어서,
    상기 용해도 변경제를 증착하는 단계는, 증기 노출 증착을 사용하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  15. 제1항에 있어서,
    상기 용해도 변경제를 활성화시키는 단계는, 상기 용해도 변경제가 상기 평탄화 층 내에 미리 결정된 깊이까지 확산되고 상기 평탄화 층의 상부의 용해도를 변경시키도록 상기 용해도 변경제를 가열하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  16. 제15항에 있어서,
    상기 용해도 변경제를 가열하는 단계는, 상기 용해도 변경제의 확산이 대략 상기 미리 결정된 깊이에서 정지하도록 가열 파라미터들을 제어하는 단계를 포함하는 것인, 자기 정렬 패턴화 방법.
  17. 제1항에 있어서,
    상기 제 1 구조물의 측벽과 상기 제 3 구조물의 측벽이 노출되어 블록 공중합체들의 자기 조립을 위한 릴리프 패턴을 제공하도록, 상기 사전 패턴 필름의 상단 표면이 상기 제 1 구조물의 상단 표면 및 상기 제 3 구조물의 상단 표면보다 낮은 것인, 자기 정렬 패턴화 방법.
  18. 제1항에 있어서,
    상기 제 1 물질 및 상기 제 2 물질은 동일한 것인, 자기 정렬 패턴화 방법.
  19. 제1항에 있어서,
    상기 제 2 물질은 산화물이고, 상기 제 1 물질 및 상기 제 3 물질은 실리콘 질화물인 것인, 자기 정렬 패턴화 방법.
  20. 제1항에 있어서,
    상기 제 1 구조물 및 상기 제 3 구조물은 트랜지스터의 게이트 구조물인 것인, 자기 정렬 패턴화 방법.
KR1020177012851A 2014-10-14 2015-10-02 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화 KR102296810B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462063462P 2014-10-14 2014-10-14
US62/063,462 2014-10-14
PCT/US2015/053802 WO2016060864A1 (en) 2014-10-14 2015-10-02 Self-aligned patterning using directed self-assembly of block copolymers

Publications (2)

Publication Number Publication Date
KR20170070149A true KR20170070149A (ko) 2017-06-21
KR102296810B1 KR102296810B1 (ko) 2021-08-31

Family

ID=55655955

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177012851A KR102296810B1 (ko) 2014-10-14 2015-10-02 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화

Country Status (5)

Country Link
US (1) US9396958B2 (ko)
KR (1) KR102296810B1 (ko)
CN (1) CN107112207B (ko)
TW (1) TWI567488B (ko)
WO (1) WO2016060864A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021016042A1 (en) * 2019-07-19 2021-01-28 Tokyo Electron Limited Method for tuning stress transitions of films on a substrate

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3026692A1 (en) * 2014-11-25 2016-06-01 IMEC vzw Method for manufacturing pillar or hole structures in a layer of a semiconductor device, and associated semiconductor structure
US9733566B2 (en) * 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
US9530663B1 (en) * 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
KR20170051886A (ko) * 2015-11-03 2017-05-12 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US9684236B1 (en) * 2016-03-17 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a film layer
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
EP3665531B1 (en) * 2017-09-13 2023-12-13 LG Chem, Ltd. Preparation method of patterned substrate
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10867833B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
KR102462051B1 (ko) * 2018-01-05 2022-11-01 도쿄엘렉트론가부시키가이샤 진보된 콘택 홀 패터닝 방법
WO2019143608A1 (en) * 2018-01-16 2019-07-25 Lam Research Corporation Selective processing with etch residue-based inhibitors
WO2019226432A1 (en) * 2018-05-21 2019-11-28 Corning Incorporated Liquid lenses and methods of manufacturing liquid lenses
FR3102295B1 (fr) * 2019-10-16 2021-11-12 Centre Nat Rech Scient Procédé de lithographie par auto-assemblage dirigé
CN111128716B (zh) * 2019-11-15 2023-10-17 西安电子科技大学 一种大面积图形自对准的异质集成方法
CN111261586B (zh) * 2020-01-22 2023-03-14 成都工业学院 一种中孔半导体纳米结构的制作方法
CN117941029A (zh) * 2021-08-25 2024-04-26 杰米纳蒂奥公司 基于反间隔件的自对准高阶图案化
KR20240042531A (ko) * 2021-09-22 2024-04-02 도오꾜오까고오교 가부시끼가이샤 상분리 구조 형성용 수지 조성물, 및 상분리 구조를 포함하는 구조체의 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100727452B1 (ko) * 1999-05-10 2007-06-13 페어차일드 세미컨덕터 코포레이션 자기-정렬 트렌치를 갖는 모스-게이트 디바이스의 성형방법
KR20090015742A (ko) * 2007-08-09 2009-02-12 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
KR20090063612A (ko) * 2007-12-14 2009-06-18 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
KR20090083091A (ko) * 2008-01-29 2009-08-03 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
KR20100128334A (ko) * 2008-03-21 2010-12-07 마이크론 테크놀로지, 인크. 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링
KR20140030873A (ko) * 2012-09-04 2014-03-12 삼성전자주식회사 패턴 형성 방법
KR101449850B1 (ko) * 2013-05-21 2014-10-13 한국과학기술원 용매 어닐링 방법, 이를 이용한 블록 공중합체 패턴 형성 방법 및 이에 의하여 제조된 블록 공중합체 패턴

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5032216A (en) 1989-10-20 1991-07-16 E. I. Du Pont De Nemours And Company Non-photographic method for patterning organic polymer films
WO2005070167A2 (en) 2004-01-12 2005-08-04 The Regents Of The University Of California Nanoscale electric lithography
US7993816B2 (en) * 2008-03-17 2011-08-09 International Business Machines Corporation Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5300799B2 (ja) 2010-07-28 2013-09-25 株式会社東芝 パターン形成方法及びポリマーアロイ下地材料
WO2012031818A2 (en) * 2010-09-09 2012-03-15 Asml Netherlands B.V. Lithography using self-assembled polymers
JP5112500B2 (ja) * 2010-11-18 2013-01-09 株式会社東芝 パターン形成方法
CN103094095B (zh) * 2011-10-28 2015-10-21 中芯国际集成电路制造(北京)有限公司 制造半导体器件的方法
JP2014164043A (ja) 2013-02-22 2014-09-08 Tokyo Ohka Kogyo Co Ltd パターン形成方法、及び、相分離構造を含む構造体の製造方法
JP5837525B2 (ja) * 2013-02-28 2015-12-24 東京エレクトロン株式会社 基板処理方法、プログラム及びコンピュータ記憶媒体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100727452B1 (ko) * 1999-05-10 2007-06-13 페어차일드 세미컨덕터 코포레이션 자기-정렬 트렌치를 갖는 모스-게이트 디바이스의 성형방법
KR20090015742A (ko) * 2007-08-09 2009-02-12 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
KR20090063612A (ko) * 2007-12-14 2009-06-18 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
KR20090083091A (ko) * 2008-01-29 2009-08-03 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
KR20100128334A (ko) * 2008-03-21 2010-12-07 마이크론 테크놀로지, 인크. 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링
KR20140030873A (ko) * 2012-09-04 2014-03-12 삼성전자주식회사 패턴 형성 방법
KR101449850B1 (ko) * 2013-05-21 2014-10-13 한국과학기술원 용매 어닐링 방법, 이를 이용한 블록 공중합체 패턴 형성 방법 및 이에 의하여 제조된 블록 공중합체 패턴

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021016042A1 (en) * 2019-07-19 2021-01-28 Tokyo Electron Limited Method for tuning stress transitions of films on a substrate
US11990334B2 (en) 2019-07-19 2024-05-21 Tokyo Electron Limited Method for tuning stress transitions of films on a substrate

Also Published As

Publication number Publication date
WO2016060864A1 (en) 2016-04-21
US9396958B2 (en) 2016-07-19
CN107112207B (zh) 2019-12-17
KR102296810B1 (ko) 2021-08-31
TWI567488B (zh) 2017-01-21
US20160104628A1 (en) 2016-04-14
CN107112207A (zh) 2017-08-29
TW201629623A (zh) 2016-08-16

Similar Documents

Publication Publication Date Title
KR102296810B1 (ko) 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화
US6500756B1 (en) Method of forming sub-lithographic spaces between polysilicon lines
US11289332B2 (en) Directional processing to remove a layer or a material formed over a substrate
KR102108234B1 (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
US10971368B2 (en) Techniques for processing substrates using directional reactive ion etching
US11145519B2 (en) Mechanisms for forming patterns using multiple lithography processes
US8865595B2 (en) Device and methods for forming partially self-aligned trenches
US20180138078A1 (en) Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
TW201926436A (zh) 製造半導體裝置的方法及其結構
CN100407052C (zh) 使用阴影心轴和偏轴曝光印制亚光刻图像
WO2016105420A1 (en) Photodefinable alignment layer for chemical assisted patterning
US9153440B2 (en) Method of forming a semiconductor device
JP4521465B2 (ja) ゲートに近接したコンタクト・ホールを有する半導体トランジスタ
CN110556298A (zh) 场效应晶体管的制造方法
KR101056060B1 (ko) 수직 트랜지스터의 자기 정렬 컨택 형성방법 및 컨택홀을 포함하는 수직 트랜지스터
EP2988322B1 (en) Method for selective oxide removal
US7910487B2 (en) Reverse masking profile improvements in high aspect ratio etch
TWI825219B (zh) 形成層之方法
CN107004577B (zh) 包括叠对误差保护的图案化方法
US10008408B2 (en) Devices and methods of forming asymmetric line/space with barrierless metallization
US20220392771A1 (en) Oblique Deposition and Etch Processes
KR20070000719A (ko) 반도체 소자의 비트라인콘택 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant