KR20140119182A - 멀티-챔버 기판 프로세싱 시스템들 - Google Patents

멀티-챔버 기판 프로세싱 시스템들 Download PDF

Info

Publication number
KR20140119182A
KR20140119182A KR1020147024405A KR20147024405A KR20140119182A KR 20140119182 A KR20140119182 A KR 20140119182A KR 1020147024405 A KR1020147024405 A KR 1020147024405A KR 20147024405 A KR20147024405 A KR 20147024405A KR 20140119182 A KR20140119182 A KR 20140119182A
Authority
KR
South Korea
Prior art keywords
substrate
substrates
processing
track mechanism
gas distribution
Prior art date
Application number
KR1020147024405A
Other languages
English (en)
Inventor
조셉 유도브스키
나그 비. 패티밴드라
프라빈 케이. 나완카
리-쿤 시아
토시아키 후지타
랄프 호프만
정훈 오
스리니바스 사트야
반퀴우 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140119182A publication Critical patent/KR20140119182A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

다수의 기판들을 프로세싱하기 위한 기판 프로세싱 시스템이 제공되고 이러한 시스템은 일반적으로, 적어도 하나의 기판 프로세싱 플랫폼 및 적어도 하나의 기판 스테이징 플랫폼을 포함한다. 기판 프로세싱 플랫폼은 다수의 기판 지지 조립체들을 지지할 수 있고 기판 지지 조립체들을 연속적으로 회전시킬 수 있는 로터리 트랙 시스템을 포함하고, 각각의 기판 지지 조립체는 상부에 기판을 운반한다. 각각의 기판은 로터리 트랙 시스템 상에 배치된 기판들 지지 조립체 상에 위치되고 적어도 하나의 샤워 헤드 스테이션 및 적어도 하나의 버퍼 스테이션을 통해 프로세싱되며 이는 기판 프로세싱 플랫폼의 로터리 트랙 시스템의 정상부에 위치된다. 기판 지지 조립체들 상에 배치된 다수의 기판들은 기판 프로세싱 플랫폼 안으로 그리고 밖으로 프로세싱된다. 기판 스테이징 플랫폼은 적어도 하나의 이중-기판 프로세싱 스테이션을 포함하고, 각각의 이중-기판 프로세싱 스테이션은 2개의 기판들을 상부에 지지하기 위한 2개의 기판 지지 조립체들을 포함한다.

Description

다중-챔버 기판 프로세싱 시스템들{MULTI-CHAMBER SUBSTRATE PROCESSING SYSTEMS}
본 발명의 실시예들은 일반적으로 기판들을 프로세싱하기 위한 장치에 관한 것이다. 특히, 본 발명은 기판들 상에 원자 층 증착(ALD) 및 화학 기상 증착(CVD)을 실시하기 위한 배치 프로세싱 플랫폼에 관한 것이다.
반도체 소자들을 형성하는 프로세스는 일반적으로 다수의 챔버들을 포함한 기판 프로세싱 플랫폼들에서 수행된다. 일부 경우들에서, 멀티-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은 제어된 환경에서 기판 상에 2개 이상의 프로세스들을 순차적으로 실시하는 것이다. 그러나, 다른 경우들에서는, 멀티-챔버 프로세싱 플랫폼이 기판들에 대해 단일의 프로세싱 단계만을 실시할 수 있으며; 상기 플랫폼에 의해 기판들이 프로세싱되는 속도를 최대화하도록 추가적인 챔버들이 구성되어 있다. 후자의 경우에, 기판들에 대해 실시되는 프로세스는 통상적으로 배치 프로세스이며, 예컨대, 25개 또는 50개의 비교적 많은 수의 기판들이 주어진 챔버 내에서 동시에 프로세싱된다. 배치 프로세싱은, ALD 프로세스들 및 일부 화학 기상 증착(CVD) 프로세스들과 같이, 시간 소모적이여서 경제적으로 실현가능한 방식으로 개별 기판들에 대해서는 실시될 수 없는 프로세스들에 대해 특히 유용하다.
기판 프로세싱 플랫폼 또는 시스템의 효율은 흔히 소유 비용(COO)에 의해 정량화된다. COO는 많은 요인들에 의해 영향을 받지만, 시스템 풋프린트, 즉, 제조 공장에서 시스템을 가동하기 위해 필요한 총 바닥 공간과, 시스템 처리량, 즉, 시간당 프로세싱되는 기판들의 개수에 의해 크게 영향을 받는다. 풋프린트는 통상적으로 시스템에 인접하여 유지 보수를 위해 필요한 액세스 영역을 포함한다. 따라서, 기판 프로세싱 시스템이 비교적 소형일 수는 있지만, 가동과 유지 보수를 위해 모든 측면들로부터의 액세스가 필요한 경우에는, 시스템의 유효 풋프린트가 여전히 엄청나게 클 수 있다.
반도체 소자들의 크기가 축소됨에 따라, 프로세스 변동성에 대한 반도체 산업의 내성이 계속 감소하고 있다. 이와 같이 엄격한 프로세스 요건들을 충족시키기 위해, 산업은 엄격한 프로세스 윈도우 요건들을 충족시키는 다수의 새로운 프로세스들을 개발하였지만, 이러한 프로세스들은 흔히 완료되기까지 오랜 시간이 걸린다. 예컨대, 구리 확산 장벽층을 고 종횡비의 65㎚ 이하의 인터커넥트 피쳐의 표면 상에 컨포멀하게 형성하기 위해서는, ALD 프로세스를 사용할 필요가 있을 수 있다. ALD는 CVD에 비해 우수한 스텝 커버리지를 나타내는 CVD의 변형이다. ALD는 원래 전계 발광 디스플레이들을 제조하기 위해 사용되었던 원자 층 에피택시(ALE)에 기초한다. ALD는 기판 표면에 반응성 전구체 분자들로 이루어진 포화된 단분자막을 증착하기 위해 화학 흡착을 이용한다. 이는 증착 챔버 속으로 적절한 반응성 전구체들의 펄싱을 주기적으로 교번함으로써 달성된다. 반응성 전구체의 각각의 분사는 통상적으로, 먼저 증착된 층들에 대해 새로운 원자 층을 제공하여 기판의 표면에 균일한 재료 층을 형성하기 위해, 불활성 가스 퍼지에 의해 분리된다. 재료 층을 원하는 두께로 형성하기 위해, 반응성 전구체와 불활성 퍼지 가스들의 사이클이 반복된다. ALD 기술들의 가장 큰 단점은 통상적인 CVD 기술들보다 증착 속도가 훨씬, 적어도 10배, 더 낮다는 것이다. 예컨대, 일부 ALD 프로세스들은 기판의 표면 상에 고품질의 층을 증착하기 위해 약 10 내지 약 200분의 챔버 프로세싱 시간이 필요할 수 있다. 더 나은 소자 성능을 위해 이러한 ALD 및 에피택시 프로세스들을 선택할 때, 종래의 단일 기판 프로세싱 챔버에서는 매우 낮은 기판 프로세싱 처리량으로 인하여 소자들의 제조 비용이 증가할 것이다. 따라서, 이러한 프로세스들을 실시할 때, 다중-챔버, 다중-기판 프로세싱 방법이 경제적으로 실현가능할 필요가 있다.
따라서, 프로세싱 처리량을 최대로 하기 위해 다중-기판 ALD 프로세싱 플랫폼과 통합된 다중-챔버 기판 시스템이 필요하다.
본 발명의 실시예들은, 최소화된 풋프린트, 다수의 프로세스 단계들을 수행하는 용이함, 및 높은 처리량을 갖는 다중-기판 프로세싱 플랫폼과 통합되는 다중-챔버 기판 프로세싱 시스템을 제공한다. 일 실시예에서, 복수의 기판들을 프로세싱하기 위한 다중-기판 프로세싱 플랫폼이 제공되고, 이러한 다중-기판 프로세싱 플랫폼은 하나 또는 그 초과의 가스 분배 조립체들, 로터리 트랙 메커니즘, 및 이중-블레이드 이송 로봇을 포함한다. 로터리 트랙 메커니즘은 복수의 기판 캐리어들을 회전시키기 위해 하나 또는 그 초과의 가스 분배 조립체들 아래에서 거리에 위치된다. 일 양태에서, 각각의 기판 캐리어는 상부에 적어도 하나의 기판을 운송하도록 그리고 로터리 트랙 메커니즘에 의해서 제 1 회전 속도로 회전식으로 이동되도록 이루어지고 이에 의해서 복수의 기판 캐리어들 상에 배치된 복수의 기판들은 하나 또는 그 초과의 가스 분배 조립체들 아래에서 이동되고 하나 또는 그 초과의 가스 분배 조립체들을 연속적으로 통과된다. 다른 양태에서, 로터리 트랙 메커니즘 상에 배치된 각각의 기판 캐리어는 제 2 회전 속도로 자체-회전할 수 있다. 로터리 트랙 메커니즘은 적어도 2개의 기판들을 동시에 수용할 수 있고, 이러한 기판들은 이중-블레이드 로봇에 의해서 로터리 트랙 메커니즘 상으로 이송된다. 이중-블레이트 이송 로봇은 적어도 2개의 기판들을 운송할 수 있고, 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상으로 및 밖으로 2개의 기판들을 동시에 이송할 수 있다.
다른 실시예에서, 복수의 기판들을 프로세싱하기 위한 기판 프로세싱 시스템이 제공되고, 기판 프로세싱 시스템은 프로세싱 플랫폼 및 프로세싱 플랫폼에 연결된 이송 챔버를 포함한다. 프로세싱 플랫폼은 하나 또는 그 초과의 가스 분배 조립체들 및 로터리 트랙 메커니즘을 포함하고, 로터리 트랙 메커니즘은 하나 또는 그 초과의 가스 분배 조립체들 아래에서 제 1 거리에 위치되고 적어도 2개의 기판 캐리어들을 동시에 수용할 수 있으며, 제 1 회전 속도로 회전하도록 구성되고 이에 의해 복수의 기판 캐리어들 상에 배치된 복수의 기판들은 하나 또는 그 초과의 가스 분배 조립체 아래에서 회전되고 하나 또는 그 초과의 가스 분배 조립체를 통과한다. 이송 챔버는 내부에 배치된 이중 블레이드 이송 로봇을 포함한다. 이중-블레이드 이송 로봇은 2개의 기판들을 운송할 수 있고, 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상으로 그리고 밖으로 2개의 기판들을 동시에 이송할 수 있다. 일 양태에서, 이송 챔버는 하나 또는 그 초과의 이중-기판 프로세싱 스테이션들에 연결된다.
여전히 다른 실시예에서, 복수의 기판들을 프로세싱하기 위한 기판 프로세싱 시스템은 프로세싱 플랫폼 및 이송 챔버를 포함하고, 여기에서 프로세싱 플랫폼은 기판 지지 조립체, 하나의 가스 분배 조립체들, 및 로터리 트랙 메커니즘을 포함하고, 로터리 트랙 메커니즘은 기판 지지 조립체를 지지하고 하나 또는 그 초과의 가스 분배 조립체들 아래에서 제 1 거리에 배치된다. 기판 지지 조립체는, 복수의 기판들을 지지할 수 있고 적어도 2개의 기판들을 동시에 상부에 수용할 수 있는 다중-기판 수용 표면을 포함하고, 이러한 기판들은 이송 챔버에 배치된 이중 블레이드 이송 로봇에 의해 이송된다. 따라서, 2개의 기판들은 로터리 트랙 메커니즘 위에 배치된 기판 지지 조립체의 다중-기판 수용 표면 상으로 그리고 밖으로 동시에 이송된다. 다른 실시예에서, 기판 프로세싱 시스템은 이송 챔버에 연결된 하나 또는 그 초과의 이중 기판 프로세싱 스테이션들을 더 포함할 수 있다. 일 구성에서, 기판 프로세싱 시스템은 이중-기판 로드 록 챔버들을 더 포함한다.
복수의 기판들을 배치 프로세싱(batch processing)하기 위한 방법들이 또한 제공된다. 일 방법은, 복수의 기판들 중 2개의 기판을 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘 상으로 로딩하는 단계, 로터리 트랙 메커니즘 위에서 제 1 거리에 위치된 하나 또는 그 초과의 가스 분배 조립체들 아래에서 복수의 기판들이 이동되고 이러한 기판들이 하나 또는 그 초과의 가스 분배 조립체들을 통과하도록 로터리 트랙 메커니즘을 연속적으로 회전시키는 단계, 및 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘으로부터 2개의 기판들을 언로딩하는 단계를 포함한다.
복수의 기판들을 배치 프로세싱하기 위한 다른 방법은, 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상으로 복수의 기판들 중 2개의 기판들을 로딩하는 단계, 로터리 트랙 메커니즘 위에서 제 1 거리에 위치된 하나 또는 그 초과의 가스 분배 조립체들 아래에서 복수의 기판들이 이동되고 이러한 기판들이 하나 또는 그 초과의 가스 분배 조립체들을 통과하도록 로터리 트랙 메커니즘을 연속적으로 회전시키는 단계, 및 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘으로부터 2개의 기판들을 언로딩하는 단계를 포함한다.
여전히, 복수의 기판들을 배치 프로세싱 하기 위한 다른 방법은, 2개의 기판들을 운송할 수 있고 로터리 트랙 메커니즘 상으로 그리고 밖으로 동시에 이송할 수 있는 이중-블레이드 이송 로봇을 사용하여 복수의 기판들 중 2개의 기판을 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘 상으로 로딩하는 단계, 로터리 트랙 메커니즘 위에서 제 1 거리에 위치된 하나 또는 그 초과의 가스 분배 조립체들 아래에서 복수의 기판들이 이동되고 이러한 기판들이 하나 또는 그 초과의 가스 분배 조립체들을 통과하도록 로터리 트랙 메커니즘을 연속적으로 회전시키는 단계, 및 배치 프로세싱 플랫폼의 로터리 트랙 메커니즘으로부터 2개의 기판들을 언로딩하는 단계를 포함한다.
추가적인 실시예들에서, 기판 프로세싱 플랫폼은 하나 또는 그 초과의 가스 분배 조립체들 사이에 회전식으로 배치된 하나 또는 그 초과의 처리 스테이션들을 더 포함한다. 일부 실시예들에서, 하나 또는 그 초과의 처리 스테이션들은 플라즈마 프로세싱 스테이션들을 포함한다. 하나 또는 그 초과의 실시예들에서, 로터리 트랙 메커니즘 근처에 회전식으로 배치된 둘 또는 그 초과의 가스 분배 조립체들이 존재한다.
추가적인 실시예들에서, 기판 프로세싱 플랫폼은 제 1 처리 스테이션들의 세트 및 제 2 처리 스테이션들의 세트를 더 포함하고, 이에 따라 제 1 처리 스테이션 및 제 2 처리 스테이션은 가스 분배 조립체들의 각각 사이에서 로터리 트랙 메커니즘 근처에 회전식으로 위치된다. 하나 또는 그 초과의 실시예들에서, 하나 또는 그 초과의 처리 스테이션들은 하나 또는 그 초과의 가스 분배 조립체들 사이에 회전식으로 위치된다. 일부 실시예들에서, 하나 또는 그 초과의 처리 스테이션들은 플라즈마 프로세싱 스테이션들을 포함한다. 하나 또는 그 초과의 실시예들에서, 프로세싱 플랫폼은 로터리 트랙 메커니즘 근처에 회전식으로 배치된 둘 또는 그 초과의 가스 분배 조립체들을 포함한다. 일부 실시예들에서, 장치는 제 1 처리 스테이션들의 세트 및 제 2 처리 스테이션들의 세트를 더 포함하고, 이에 따라 제 1 처리 스테이션 및 제 2 처리 스테이션은 가스 분배 조립체들의 각각 사이에서 로터리 트랙 메커니즘 근처에 회전식으로 위치된다.
본 발명의 추가적인 실시예들은 복수의 기판들을 프로세싱하는 방법들에 관한 것이다. 기판들이, 로터리 트랙 메커니즘 근처의 프로세싱 챔버의 내부 주변에 회전식으로 배치되고 실질적으로 동등한 시작 위치들에 위치되도록, 복수의 기판들은, 복수의 가스 분배 조립체들을 포함하는 프로세싱 챔버의 로터리 트랙 메커니즘 상으로 로딩된다. 가스 분배 조립체에 의해 제공되는 복수의 가스 스트림들에 의해 기판의 표면 상에 층이 증착되도록 가스 분배 조립체의 제 1 측으로부터 가스 분배 조립체의 제 2 측으로 각각의 기판이 이동하기 위해 로터리 트랙 메커니즘이 회전된다. 원하는 두께의 필름이 형성될 때까지 가스 분배 조립체의 제 1 측으로부터 가스 분배 조립체의 제 2 측으로 각각의 기판이 이동하도록 로터리 트랙 메커니즘이 회전되는 것이 지속된다. 각각의 기판이 실질적으로 동일한 프로세싱 환경을 경험하도록 복수의 기판들이 프로세싱 챔버로부터 언로딩된다. 일부 실시예들은, 플라즈마 처리 스테이션 근처에 각각의 기판이 위치되도록, 각각의 기판이 가스 분배 조립체의 제 2 측을 통과한 후에 로터리 트랙 메커니즘을 정지시키는 단계 및 기판의 표면 상에 형성된 필름을 플라즈마 처리하는 단계를 더 포함한다.
본 발명의 전술한 특징들이 구체적으로 이해될 수 있도록, 첨부 도면들에 그 일부가 도시된 실시예들을 참조하여 위에서 약술한 본 발명에 대해 보다 상세하게 설명한다. 그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 간주되어서는 아니됨을 유의하여야 한다.
도 1은 본 발명의 하나 이상의 실시예들에 따라 4개의 가스 분배 조립체들과 4개의 중간 처리 스테이션들을 가진 기판 프로세싱 시스템의 개략적인 평면도이다.
도 2a 내지 도 2c는 다양한 개수의 가스 분배 조립체들을 가진 기판 프로세싱 시스템들을 구비한 클러스터 툴들의 개략적인 평면도이다.
도 3은 각각의 프로세싱 그룹이 가스 분배 조립체, 제 1 처리 스테이션 및 제 2 처리 스테이션을 포함하는, 3개의 프로세싱 그룹들을 포함한 기판 프로세싱 시스템의 개략적인 평면도를 나타낸다.
도 4a는 본 발명의 일 실시예들에 따라 다수의 기판들을 연속적으로 로딩, 언로딩 및 프로세싱하기 위해 프로세싱 플랫폼, 이송 챔버, 및 추가적인 챔버들로 구성되는 기판 프로세싱 시스템의 개략적인 평면도이다.
도 4b는 본 발명의 다른 실시예들에 따라 다수의 기판들을 연속적으로 로딩, 언로딩 및 프로세싱하기 위해 프로세싱 플랫폼, 2개의 이송 챔버들, 및 추가적인 챔버들로 구성되는 기판 프로세싱 시스템의 개략적인 평면도이다.
도 5는 다수의 샤워헤드 스테이션들과 다수의 버퍼 스테이션들을 구비한 프로세싱 플랫폼에 연결된 이송 챔버의 개략적인 평면도이며, 본 발명의 하나 이상의 실시예에 따라 다수의 샤워헤드 스테이션들의 가스 분배 조립체들 아래에서 회전하도록 배치되어 있는 복수의 기판들을 도시하고 있다.
도 6은 본 발명의 하나 이상의 실시예들에 따라 기판의 표면을 대면하며 다수의 개방된 가스 채널들을 가진 측면을 도시하고 있는, 샤워헤드 스테이션 내의 가스 분배 조립체의 측면도이다.
도 7은 본 발명의 하나 이상의 실시예들에 따라 기판이 아래에 배치되어 있는 프로세싱 스테이션 내의 가스 분배 조립체의 부분 측단면도이다.
도 8은 로터리 기판 지지 조립체의 표면 상의 2개의 프로세싱 스테이션들의 2개의 가스 분배 조립체들 아래에 배치된 2개의 기판들을 도시하고 있는, 프로세싱 플랫폼의 부분 측단면도이다.
프로세싱 처리량을 최대화하고 프로세싱 균일성을 유지하기 위해 다중-챔버 기판 프로세싱 시스템이 제공된다. 다중-챔버 기판 프로세싱 시스템은 ALD 및 CVD 응용예들을 위한 프로세싱 플랫폼 및 다른 CVD, PVD, 에칭, 세정, 가열, 어닐링, 및/또는 폴리싱 프로세스들을 위한 하나 또는 그 초과의 추가적인 프로세스 챔버들을 포함할 수 있다. 일 실시예에서, 복수의 기판들이 로터리 트랙 메커니즘 상에 배치될 수 있고 회전되며 연속적으로 프로세싱되도록 프로세싱 플랫폼 내의 로터리 트랙 메커니즘을 사용함으로써 처리량이 개선된다. 복수의 기판들의 각각은, 로터리 트랙 메커니즘 위에서 거리에 위치된 복수의 가스 분배 조립체들로부터 운반되는 둘 또는 그 초과의 프로세스 가스들에 계속 노출될 수 있다. 게다가, 2개의 기판들은 시간을 절약하고 프로세싱 처리량을 늘리기 위해 로터리 트랙 메커니즘에 동시에 로딩되고 언로딩된다.
웨이퍼들이 동일한 프로세스 흐름을 경험할 수 있도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 다수의 가스 분사기들을 가진 프로세싱 챔버들이 사용될 수 있다. 본 명세서와 첨부된 특허청구범위에 사용된 바와 같이, 용어 "기판" 및 "웨이퍼"는 프로세싱(예컨대, 증착, 어닐링, 에칭)이 실시되는 대상인 이산된 강성 재료를 의미하는 것으로 상호교환적으로 사용된다. 예컨대, 도 1에 도시된 바와 같이, 프로세싱 챔버는 4개의 가스 분사기들과 4개의 웨이퍼들을 갖는다. 프로세싱 초기에, 웨이퍼들은 분사기들 사이에 배치될 수 있다. 캐러셀(carousel)을 45°회전시키면, 각각의 웨이퍼가 막 증착을 위해 분사기로 이동하게 된다. 추가로 45°회전시키면, 웨이퍼들이 분사기들로부터 멀어지게 된다. 공간적 ALD 분사기들에서는, 주로 분사기에 대해 웨이퍼가 상대적으로 이동하는 동안, 웨이퍼 상에 막이 증착된다.
도 1에 도시된 프로세싱 챔버(10)는 단지 하나의 가능한 구성을 대표하는 것이며, 본 발명의 범위를 제한하는 것으로 간주되어서는 안된다. 여기서, 프로세싱 챔버(10)는 복수의 가스 분배 조립체(11)들을 포함한다. 도시된 실시예에서는, 프로세싱 챔버(10) 주위에 4개의 가스 분배 조립체(11)들이 균일하게 이격되어 있다. 도시된 프로세싱 챔버(10)는 8각형이지만, 이는 하나의 가능한 형상이며 본 발명을 제한하는 것으로 간주되어서는 안된다는 것을 당업자들은 이해할 것이다
프로세싱 챔버(10)는 프로세싱 챔버(10) 내에 기판 지지 장치(12)를 포함한다. 기판 지지 장치(12)는 각각의 가스 분배 조립체(11)들 아래로 복수의 기판들을 이동시킬 수 있다. 챔버에 대해 기판이 로딩 및/또는 언로딩될 수 있도록 하기 위해, 도시되지 않은 로드 락이 프로세싱 챔버(10)의 측면에 연결될 수 있다.
프로세싱 챔버(10)는 복수의 가스 분배 조립체(11)들 각각 사이에 배치된 복수의 또는 일련의 제 1 처리 스테이션(13)들을 포함한다. 각각의 제 1 처리 스테이션(13)은 기판에 대해 동일한 처리를 제공한다. 일부 실시예들에서, 도 3에 도시된 바와 같이, 프로세싱 챔버(10)를 통해 회전하는 기판이, 기판 시작 위치에 따라, 가스 분배 조립체(11), 제 1 처리 스테이션(13) 및 제 2 처리 스테이션(14) 중 어느 하나와 두 번째 만나기 전에 다른 것들과 만나도록, 일련의 제 2 처리 스테이션(14)들이 제 1 처리 스테이션(13)들과 가스 분배 조립체(11)들 사이에 배치된다. 예컨대, 도 3에 도시된 바와 같이, 기판이 제 1 처리 스테이션(13)에서 시작되면, 기판은 두 번째 제 1 처리 스테이션(13)을 만나기 전에, 제 1 처리 스테이션(13), 가스 분배 조립체(11) 및 제 2 처리 스테이션(14)을 순차적으로 만나게 된다.
도 2a 내지 도 2c는 다중 캐러셀 타입 프로세싱 챔버(10)를 구비한 클러스터 툴(20)들의 여러 실시예들을 나타낸다. 도 2a에 도시된 실시예는 중앙 반송 스테이션(21) 주위에 4개의 프로세싱 챔버(10)들을 갖는다. 각각의 프로세싱 챔버(10)들은 2개의 가스 분배 조립체(11)들과 2개의 제 1 처리 스테이션(13)들을 포함한다. 도 2b의 실시예는 3개의 가스 분배 조립체(11)들과 3개의 제 1 처리 스테이션(13)들을 갖고, 도 2c의 실시예는 4개의 가스 분배 조립체(11)들과 4개의 제 1 처리 스테이션(13)들을 갖는다. 다른 개수의 분사기들 또는 가스 분배 조립체들이 사용될 수도 있다. 일부 실시예들에서, 분사기들의 개수는 동시에 프로세싱될 수 있는 웨이퍼들의 개수와 동일하다. 각각의 웨이퍼가 프로세싱 중에 동일한 경험을 하도록(즉, 동일한 조건들을 경험하도록), 각각의 웨이퍼는 분사기 아래에 또는 분사기들 사이의 영역에 놓인다.
추가적인 프로세싱 장치가 분사기들 사이에 배치될 수도 있다. 예컨대, US 램프들, 플래시 램프들, 플라즈마 소오스들 및 히터들. 그리고, 웨이퍼들은, 분사기들과 관련된 위치들로부터, 예컨대, 웨이퍼에 플라즈마를 전달하는 샤워헤드와 관련된 위치들로 이동하게 된다. 하나 이상의 예에서, 각각의 증착층 다음에 실리콘 질화막들이 플라즈마 처리에 의해 형성될 수 있다. ALD 반응은 표면이 포화되는 한 이론적으로 자기-제한적(self-limiting)이므로, 증착 가스에 대한 추가적인 노출이 막에 손상을 유발하지는 않을 것이다.
캐러셀의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 프로세싱에서는, 웨이퍼들이 각각의 분사기들에 차례로 노출되도록 계속 회전하게 된다. 불연속적인 프로세싱에서는, 웨이퍼들이 분사기 영역으로 이동하여 정지된 다음, 분사기들 사이의 영역으로 이동하여 정지될 수 있다. 예컨대, 웨이퍼들이 분사기간 영역으로부터 분사기를 가로질러(또는 분사기에 인접하여 정지하고), 다시 일시 정지할 수 있는 다음 분사기간 영역으로 계속 이동하도록, 캐러셀이 회전할 수 있다. 분사기들 사이에서의 일시 정지는 각각의 층 증착 사이의 추가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
일부 실시예들에서, 대칭적인 배향을 유지하는 분사기들과는 다른 개수의 웨이퍼들이 존재한다. 예컨대, 프로세싱 챔버는 3개의 분사기들과 6개의 웨이퍼들을 가질 수 있다. 처음에는, 웨이퍼들 중 어느 것도 분사기들 아래에 배치되지 않으며; 캐러셀이 30°회전하면, 제 1 웨이퍼 세트가 분사기들 아래에 놓이고, 제 2 웨이퍼 세트가 분사기 바로 앞의 위치로 이동하게 된다. 다음에 30°회전하면, 제 1 웨이퍼 세트가 분사기들 아래로부터 나오고, 제 2 웨이퍼 세트가 분사기 영역으로 이동하게 된다. 다시, 기판들이 각각의 분사기 사이에서 추가적인 프로세싱 단계들에 노출될 수 있다.
분사기들은 실질적으로 평행하거나(예컨대, 직사각형), 쐐기 형상일 수 있다. 표면 반응들이 포화되면, 추가적인 반응이 발생하지 않을 것이기 때문에, 웨이퍼들이 분사기에 인접하여 추가적인 시간을 소비하여도 문제가 되지 않는다.
일부 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은 가스 분배 조립체(11)로부터의 프로세싱 가스들의 이동이 처리 스테이션(13)에 도달하는 것 및 그 반대의 것을 방지하거나 최소화하기 위한 장벽을 생성한다. 가스 커튼(40)은 근처의 섹션들로부터 개개의 프로세싱 섹션들을 분리시킬 수 있는 임의의 적합한 가스들 또는 진공 스트림들을 포함할 수 있다. 일부 실시예들에서, 가스 커튼(40)은 퍼지(또는 불활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 가스들을 프로세싱 챔버로부터 제거하는 진공 스트림이다. 일부 실시예들에서, 가스 커튼(40)은 퍼지 가스와 진공 스트림들의 결합이고 이에 따라, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재한다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 진공 스트림들과 퍼지 가스 스트림들의 결합이고 이에 따라, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재한다. 도 1에 도시된 가스 커튼들(40)은 가스 분배 조립체들(11)과 처리 스테이션들(13)의 각각 사이에 위치되지만, 커튼들이 로터리 트랙 메커니즘(12)의 프로세싱 경로를 따라서 임의의 지점 또는 지점들에 위치될 수 있다는 점이 이해될 것이다.
도 1을 다시 참조하면, 본 발명의 하나 이상의 실시예들은 복수의 기판들을 프로세싱하는 방법들에 관한 것이다. 각각의 기판(16)이 다른 기판(16)들과 비교적 동일한 위치에 놓이도록, 복수의 기판(16)들이 각각 프로세싱 챔버(10) 속으로 로딩된다. 본 명세서와 첨부된 특허청구범위에 사용된 바와 같이, 용어 "비교적 동일한(relatively identical)", "비교적 동일한(relatively the same)" 및 "실질적으로 동일한 시작 위치들" 등은 기판들이 동등한 위치들에 있다는 것을 의미한다. 예컨대, 도 1에서 각각의 기판(1)은 가스 분배 조립체(11) 아래에 배치된 것으로 도시되어 있다. 따라서, 각각의 기판(16)은 다른 기판들과 실질적으로 동일한 시작 위치들을 갖는다. 복수의 기판들은 트랙부 및/또는 지지 구조체들을 포함할 수 있는 기판 지지 장치(12) 상에 배치된다. 기판 지지 장치(12)는 원(17) 또는 그와 유사한 형상으로 빙 돌려서 기판(16)들을 회전시킨다. 회전할 때, 기판(16)들은 그들의 최초 위치로부터, 제 1 처리 스테이션(3)들 아래일 수 있는 다음 위치로 이동한다. 가스 분배 조립체(11)가 도 7에 도시되어 설명된 것과 같은 공간적 원자 층 증착 장치인 경우, 가스 분배 조립체 아래에서의 이동은 기판의 각 부분이 일련의 프로세스 가스들(전구체 가스들 또는 반응성 가스들 등이라고도 함)에 대해 노출되어 기판 표면에 층이 증착되도록 한다. 그 다음, 기판은 증착후 프로세싱이 실시되는 제 1 처리 스테이션(13)으로 이동하게 된다. 일부 실시예들에서, 증착후 프로세스는 어닐링 및 플라즈마 처리 중 하나 이상이다.
기판들은 연속적인 비중단 방식으로 또는 이산 단계들로 이동한다. 이산 단계들로 이동하는 경우, 기판은 제 1 처리 스테이션으로부터 가스 분배 조립체 영역을 통해 다른 제 1 처리 스테이션으로 이동하게 될 수 있다. 이는 기판의 운동이 가스 분배 조립체에 인접한 서로 다른 반응 가스들의 순차적인 노출을 유발하여 막을 증착하도록 할 수 있다.
일부 실시예들에서, 교번하는 가스 분배 조립체들은 교번하는 반응 가스들을 제공하며, 교번하는 제 1 처리 스테이션들은 서로 다른 처리를 제공한다. 예컨대, 제 1 가스 분배 조립체가 기판 표면에 제 1 반응성 가스를 공급하여 표면 상에 부분적인 막을 형성할 수 있으며, 그 다음, 상기 기판이 제 1 처리 스테이션으로 이동하여 상기 부분적인 막이 가열된 다음, 제 2 가스 분배 조립체로 이동하여 상기 부분적인 막과 제 2 반응성 가스가 반응하여 완성된 막을 형성한 다음, 상기 기판이 다른 제 1 처리 스테이션으로 이동하여, 예컨대, 막을 치밀화하기 위해, 막이 플라즈마에 노출된다.
도 4a는 연속적인, 다수의 기판들 프로세싱을 위한 기판 프로세싱 시스템(100)의 개략적인 평면도이다. 기판 프로세싱 시스템은 프로세싱 플랫폼(200), 프로세싱 플랫폼(200)에 연결된 이송 챔버(160), 및, 선택적으로 기판 스테이징 플랫폼(180)을 포함할 수 있다.
프로세싱 플랫폼(200)은 ALD 또는 CVD 프로세스에서 복수의 기판들(210) 위에 물질 층을 증착시키기 위해 설계된다. 프로세싱 플랫폼(200)은 일반적으로, 복수의 기판들(210)을 지지할 수 있는 다중-기판 수용 표면을 갖는 기판 지지 조립체(275)(예를 들어, 캐러셀-형 메커니즘)을 포함한다. 기판 지지 조립체(275)는 아래에 배치된 로터리 샤프트 또는 로터리 트랙 메커니즘에 의해 지지되고 회전될 수 있다.
각각의 기판(210)은, 회전 동안, 각각의 기판(210)을 기판 지지 조립체(275) 상에 고정시키기에 용이하도록 기판 캐리어(240)에 의해 지지될 수 있다. 대안적으로, 복수의 기판들(210)의 각각은 기판 캐리어(240)에 의해 지지될 수 있는데, 차례대로 기판 캐리어(240)는 기판 프로세싱 동안 로터리 샤프트 또는 로터리 트랙 메커니즘 상에 고정식으로 배치될 수 있고, 그리고 기판(210)이 로터리 트랙 메커니즘의 회전 운동 동안 제위치에서 벗어나는 것을 방지할 수 있다.
2개의 기판들(210)은 이중-블레이드 로봇(도 5에 도시된 바와 같은)에 의해 홀로 지지될 수 있고 이송 챔버(160)로부터 이송되며 프로세싱 플랫폼(200) 내의 기판 지지 조립체(275) 상으로 로딩될 수 있다. 대안적으로, 2개의 기판들(210)은 2개의 기판 캐리어들(240) 상에 운반될 수 있고 상부에 2개의 기판들이 놓인 2개의 기판 캐리어들(240)은 이중-블레이드 로봇에 의해 이송될 수 있고, 기판 지지 조립체(270) 상에 로딩될 수 있고, 그리고 기판 지지 조립체(275) 정상부에 고정될 수 있다.
스테이징 플랫폼(180)은 하나 또는 그 초과의 이중-기판 프로세싱 스테이션들(120A, 1208)을 포함하는데, 이러한 이중-기판 프로세싱 스테이션들은 ALD 또는 CVD 프로세스 이전에 2개의 기판들(210)을 준비하고 그리고/또는 증착-전, 증착-후 기판 처리들을 수행하기에 적합하다. 게다가, 스테이징 플랫폼(180)은 다른 CVD, PVD, 에칭, 세정, 가열, 어닐링, 및/또는 폴리싱 프로세스들을 위한 추가적인 프로세스 챔버들을 포함할 수 있다. 기판 프로세싱 시스템(100)은 로드 록 챔버(예를 들어, 이중-기판 로드 록 챔버(110))를 포함할 수 있다. 일반적으로, 저-오염 세정 환경이 기판 프로세싱 시스템(100) 내에서 유지된다.
도 4b는 프로세싱 플랫폼(200) 및 스테이징 플랫폼(180)과 함께 구성된 기판 프로세싱 시스템(100)의 다른 예시의 개략적인 평면도이다. 스테이징 플랫폼(180)은, 연속적인 다중-기판 프로세싱을 위해, 예를 들어, 2개의 이송 챔버들(160A, 1608) 및 4개의 이중-기판 프로세싱 스테이션들(120A, 1208, 120C, 1200), 및 추가적인 챔버들을 포함할 수 있고, 여기에서 2개의 기판들이 프로세싱 플랫폼(200) 상으로 그리고 그 밖으로 로딩되고 그리고/또는 언로딩될 수 있다.
스테이징 플랫폼(120) 내의 4개의 이중-기판 프로세싱 스테이션들(120A, 1208, 120C, 120)은 처리-전 스테이션, 처리-후 스테이션, 및 상이한 프로세스들(예를 들어, 플라즈마 처리, 어닐링 등)을 위한 스테이션들일 수 있다.
도 5는 다수의 샤워헤드 스테이션(250)들을 구비한 프로세싱 플랫폼(200)의 개략적인 평면도이다. 프로세싱 플랫폼(200)은 이송 챔버(160)에 연결되고, 이송 챔버(160)는 프로세싱 플랫폼(200)의 안과 밖으로 2개의 기판들을 이송하기 위해 내부에 배치된 이중 블레이드 로봇(162)을 갖는다. 선택적으로, 각각의 샤워헤드 스테이션(250)을 공간적으로 분리하고/또는 기판을 가열하거나 기판(210)들의 표면 위에 증착된 막들의 경화시키기 위해, 다수의 버퍼 스테이션(248)들이 샤워헤드 스테이션(250)들 중간에 배치된다.
도 5에 도시된 바와 같이, 복수의 기판(210)들이 다수의 샤워헤드 스테이션(250)의 가스 분배 조립체(252)들 아래에서 회전하도록 배치될 수 있다. 기판 프로세싱 중에, 복수의 기판(210)들이 각각의 샤워헤드 스테이션(250)들과 버퍼 스테이션(248)들 아래에서 회전하며 통과하도록, 로터리 트랙 메커니즘(245) 또는 기판 지지 조립체(275) 아래의 샤프트는 제 1 회전 속도(예컨대, 0 내지 30 미만의 rpm)로 수평 방향(242)(예컨대, 시계 방향 또는 반시계 방향)으로 회전하도록 구성된다.
도 6은 샤워헤드 스테이션(250) 내의 가스 분배 조립체(252)의 측면도로서, 기판(210)의 표면에 대면하는 측면을 도시하고 있다. 도 7은 기판(210)이 아래에 배치되어 있는 가스 분배 조립체(252)의 부분 측단면도이다.
가스 분배 조립체(252)는 가스 박스(120, 130, 140)들로부터 각각 전구체 가스(A), 전구체 가스(B) 및 퍼지 가스를 전달하기 위해 기판(210)의 표면에 대면하고 있는 다수의 개구를 구비한 다수의 가스 채널(125, 135, 145)을 포함할 수 있다. 다수의 가스 채널(155)들이 펌핑 시스템에 연결되어 있으며, 기판(210) 표면 위의 프로세싱 공간으로부터 과잉 가스들을 펌핑하기 위해 제공된다. 일 실시예들에서, 가스 채널(125, 135, 145, 155)들은 공간적으로 분리되며, 그렇지 않으면, 가스 분배 조립체(252)의 수평면을 가로질러 배치된다. 다른 실시예에서, 전구체 가스(A), 전구체 가스(B) 및 퍼지 가스가 가스 채널(125, 135, 145, 155)들 속으로 연속적으로 유입되어, 기판(210) 표면 위의 여러 위치들로 흐른다. 기판이 회전하여 각각의 가스 채널(125, 135) 아래에 도달하였을 때, 기판(210) 표면 위에서 전구체 화합물이 화학 흡착되도록 가스 흐름을 전달하기 위해 각각의 가스 채널(125, 135)이 제공된다.
기판이 회전하여 가스 채널(145) 아래에 도달하였을 때, 퍼지 가스의 가스 흐름을 전달하여 기판(210) 표면 위에서 전구체(A)와 전구체(B)의 각각의 흐름을 분리하기 위해 각각의 가스 채널(145)이 제공된다. 이에 따라, 각각의 기판(210)이, 각각의 가스 분배 조립체(252) 내에서 공간적으로 분리되어 있는 다수의 가스 채널(125, 135, 145)들의 개구들 아래에 배치될 때, 전구체 가스(A), 전구체 가스(B) 및 퍼지 가스에 동시에 그러나 서로 다른 위치들에서 노출될 수 있다.
도 1로 돌아가서, 본 발명의 추가적인 실시예들은 복수의 기판들(16)을 프로세싱하는 방법들에 관한 것이다. 복수의 기판들(16)은 복수의 가스 분배 조립체들(11)을 포함하는 프로세싱 챔버(10)의 로터리 트랙 메커니즘(12) 상에 로딩된다. 기판들(16)은 로터리 트랙 메커니즘(12) 근처의 프로세싱 챔버(10)의 내부 주위에 그리고 실질적으로 동등한 시작 위치들에 회전식으로 배치되고(예를 들어, 각각의 기판은 근처의 가스 분배 조립체(11)의 제 1 측 상에 위치됨) 이에 의해 기판들(16)의 관점으로부터, 각각이 같은 위치에 있다. 로터리 트랙 메커니즘(12)이 회전되고 이에 의해 각각의 기판(16)은 가스 분배 조립체(11)의 아래에서 가스 분배 조립체(11)의 제 1 측(31)으로부터 가스 분배 조립체(11)의 제 2 측(32)으로 이동한다. 도 6 및 도 7과 관련하여 기술된 바와 같이, 가스 분배 조립체들(11)에 의해 제공된 복수의 가스 스트림들에 의해서 기판(16)의 표면 상에 층이 증착된다. 로터리 트랙 메커니즘은 반복적으로 또는 연속적으로 회전되고 이에 의해 각각의 기판(16)은 가스 분배 조립체의 제 1 측(31)으로부터 가스 분배 조립체(11)의 제 2 측(32)으로 이동하고, 그런 다음에 다음 가스 분배 조립체(11)의 제 1 측(31)을 향하여 더 이동한다. 이는 원하는 두께의 필름이 형성될 때까지 지속된다. 필름 두께가 한번 형성되면, 복수의 기판들은 프로세싱 챔버로부터 제거되고 이에 의해 각각의 기판은 실질적으로 동일한 프로세싱 환경을 경험했다(예를 들어, 각각의 기판은 동일한 개수의 가스 분배 조립체들 아래를 통과했고 그리고/또는 각각의 기판은 동일한 개수의 가스 분배 조립체들 아래를 동일한 횟수만큼 통과했다).
일부 실시예들에서, 기판(16)의 표면 상에 형성된 필름의 플라즈마 처리를 제공하는 처리 스테이션(13) 근처에 각각의 기판(16)이 위치되도록, 각각의 기판(16)이 가스 분배 조립체(11)의 제 2 측(32)을 통과한 후에 로터리 트랙 메커니즘(12)의 이동이 정지된다. 가스 분배 조립체에 의해 증착된 필름의 플라즈마 처리가 후속하는 가스 분배 조립체 아래를 각각의 기판이 통과하도록 로터리 트랙 메커니즘(12)이 임의의 횟수로 정지되고 시작될 수 있다.
하나 또는 그 초과의 실시예들에서, 로터리 트랙 메커니즘은 가스 분배 조립체들의 각각 이전 사이에 및/또는 이후 사이에 위치되는 가스 커튼(40)을 통과하여 기판들을 회전시킨다. 이러한 가스 커튼(40)은 프로세싱 챔버(10)에 진입하는 퍼지 가스 스트림 및/또는 프로세싱 챔버(10)를 빠져나가는 진공 스트림을 포함할 수 있다. 일부 실시예들에서, 퍼지 가스 스트림 및 진공 스트림 둘 다 채용되고, 이에 의해, 순서대로, 퍼지 가스 스트림, 진공 스트림 및 퍼지 가스 스트림이 전제하고 근처의 처리 스테이션(13)으로부터 가스 분배 조립체들의 각각을 분리시킨다.
도 8은 로터리 기판 지지 조립체(275)의 표면 상의 2개의 프로세싱 스테이션(250)들의 2개의 가스 분배 조립체(252)들 아래에 배치된 2개의 기판(210)들을 도시하고 있는, 프로세싱 플랫폼(200)의 부분 측단면도이다. 도 5에 도시된 바와 같이, 기판의 일부분은 가스 채널(125)의 개구들을 통해 다수의 전구체 가스(A) 흐름들에 노출될 수 있는 반면, 다른 기판의 일부분은 가스 채널(145)의 개구들을 통해 다수의 퍼지 가스 흐름들에 노출될 수 있다.
또한, 프로세싱 플랫폼(200) 내의 프로세스 온도 및 압력들은 ALD 또는 CVD 프로세스에 적합한 레벨들로 제어된다. 예컨대, 하나 이상의 펌프들이 프로세싱 플랫폼(200) 내에 배치될 수 있으며, 하나 이상의 히터 시스템(205)이 기판 지지 조립체(275) 아래에 배치될 수 있다. 추가적인 가열 시스템들은 기판 지지 조립체(275)의 상부 또는 하부로부터의 복사 또는 대류 가열을 포함할 수 있다. 또한, 프로세싱 플랫폼은 프로세싱 시스템(100) 내에서 플라즈마 강화 원자 층 증착(PEALD) 프로세스를 수행하기 위해 로컬 또는 원격 플라즈마 소오스에 커플링될 수 있다.
동작시, 기판(210)의 표면 위에 질화탄탈(TaN) 재료 층을 증착하기 위해, 2개의 전구체 화합물들이 사용될 수 있다. 제 1 전구체는 탄탈계 유기 금속 전구체 또는 그 유도체와 같은 탄탈 함유 전구체, 예컨대, 펜타디메틸아미노-탄탈(PDMAT; Ta(NMe2)5), 펜타에틸메틸아미노-탄탈(PEMAT; Ta[N(C2H5CH3)2]5), 펜타디에틸아미노-탄탈(PDEAT; Ta(NEt2)s,), TBTDET (Ta(NEt2)3NC4H9 또는 C16H39N4Ta) 및 할로겐화 탄탈, 앞서 나열한 화합물들의 임의의 모든 유도체들일 수 있다. 탄탈 함유 화합물은 가스로서 제공될 수 있거나, 캐리어 가스의 도움을 받아 제공될 수 있다. 사용될 수 있는 캐리어 가스들의 예들에는, 이에 한정되는 것은 아니지만, 헬륨(He), 아르곤(Ar), 질소(N2) 및 수소(H2)가 포함된다.
배치 프로세싱 챔버(200)의 프로세싱 영역(280)으로 제 1 전구체 가스(전구체 가스(A))가 전달된 후, 탄탈 함유 화합물의 단분자막이 기판(210)의 표면 상으로 화학 흡착되며, 퍼지 가스의 펄스를 도입함으로써 프로세스 챔버로부터 과잉 탄탈 함유 화합물이 제거된다. 사용될 수 있는 퍼지 가스들의 예들에는, 이에 한정되는 것은 아니지만, 헬륨(He), 아르곤(Ar), 질소(N2), 수소(H2) 및 다른 가스들이 포함된다.
프로세스 챔버가 퍼징된 후, 배치 프로세싱 챔버(200)의 프로세싱 영역(280)으로 제 2 전구체 가스(전구체 가스(B))가 전달될 수 있다. 제 2 전구체는 질소 원자들과 하나 이상의 반응성 원자들/종들을 구비한 질소 함유 화합물일 수 있다. 예컨대, 질소 함유 화합물은 암모니아 가스(NH3)와, 이에 한정되는 것은 아니지만, x 및 y가 정수인 NxHy(예컨대, 하이드라진(N2H4)), 디메틸 하이드라진((CH3)2N2H2), 티-부틸하이드라진(C4H9N2H3), 페닐하이드라진(C6H5N2H3), 다른 하이드라진 유도체들, 질소 플라즈마 소오스(예컨대, N2, N2/H2, NH3 또는 N2H4 플라즈마), 2,2'-아조이소부탄((CH3)6C2N2), 에틸아지드(C2H5N3) 및 다른 적당한 가스들을 포함하는 다른 질소 함유 화합물들일 수 있다. 질소 함유 화합물은 펄스로서 프로세싱 영역(280)으로 도입될 수 있으며, 단독으로 제공될 수 있다. 대안적으로, 필요한 경우, 질소 함유 화합물을 전달하기 위해 캐리어 가스가 사용될 수 있다.
배치 프로세싱 챔버(200)의 프로세싱 영역(280)으로 제 2 전구체 가스(전구체 가스(A))가 전달된 후, 질소 함유 화합물의 단분자막이 탄탈 함유 화합물의 단분자막 상으로 화학 흡착될 수 있다. 원자 층 증착(ALD) 중에 표면 상의 전구체들의 조성과 구조가 정확하게 알려져 있지 않다. 이론에 의해 구속되는 것을 바라지는 않지만, 화학 흡착된 질소 함유 화합물의 단분자막이 탄탈 함유 화합물의 단분자막과 반응하여 질화탄탈 층을 형성하는 것으로 여겨진다. 2개의 전구체 화합물들로부터의 반응성 종들은 (예컨대, 유체 출구(262)들 및 배기 시스템(260)을 통해) 기판 표면으로부터 이송되는 부산물들을 형성할 수 있다. 질소 함유 화합물과 탄탈 함유 화합물의 반응은 자기-제한적이며, 프로세싱 영역(280)으로 전구체 화합물을 전달하는 각각의 펄스에서, 오직 하나의 전구체 화합물 단분자막만 기판(210)의 표면 상으로 화학 흡착되는 것으로 여겨진다. 원하는 두께의 재료 층(예컨대, 질화탄탈 막)이 형성될 때까지, 기판 표면 위에서 2이상의 교번하는 전구체들을 순차적으로 전달하는 각각의 사이클이 반복된다(예컨대, 20 내지 30 사이클들).
유체 전달 시스템이 각각의 가스 분배 조립체(250)들 아래의 내부 프로세스 용적과 유체 소통할 수 있으며, 프로세싱 플랫폼(200) 부근의 설비탑 내에 배치될 수 있다. 프로세싱 플랫폼(200) 내부에서 실시되는 프로세스를 제어하기 위해, 매니지먼트 또는 시스템 컨트롤 시스템이 프로세싱 플랫폼(200) 및/또는 멀티-챔버 기판 프로세싱 시스템(100)에 연결된다.
이상의 설명은 본 발명의 실시예들에 관한 것이나, 본 발명의 기본적인 범위를 벗어나지 않고 다른 추가적인 실시예들이 안출될 수 있으며, 그 범위는 하기된 특허청구범위에 의해 결정된다.

Claims (15)

  1. 복수의 기판들을 프로세싱하기 위한 기판 프로세싱 시스템으로서,
    기판 프로세싱 플랫폼이:
    하나 또는 그 초과의 가스 분배 조립체들;
    로터리 트랙 메커니즘으로서, 상기 로터리 트랙 메커니즘 상에 배치되는 복수의 기판 지지 캐리어들에 의해 지지되는 복수의 기판들을 수용하기 위해 상기 하나 또는 그 초과의 가스 분배 조립체들 아래에서 제 1 거리에 위치되는, 로터리 트랙 메커니즘; 및
    2개의 기판들을 운송할 수 있고 그리고 상기 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상으로 그리고 밖으로 2개의 기판들을 동시에 이송할 수 있는 이중-블레이드 이송 로봇을 포함하고,
    상기 로터리 트랙 메커니즘은 2개 이상의 기판들을 동시에 수용할 수 있고 제 1 회전 속도로 회전하여 상기 복수의 기판 캐리어들 상에 배치된 상기 복수의 기판들이 상기 하나 또는 그 초과의 가스 분배 조립체들 아래에서 회전되고 상기 하나 또는 그 초과의 가스 분배 조립체들을 통과하는,
    기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    둘 또는 그 초과의 가스 분배 조립체들이 상기 로터리 트랙 메커니즘 근처에 회전식으로 배치되는,
    기판 프로세싱 시스템.
  3. 복수의 기판들을 프로세싱하기 위한 기판 프로세싱 시스템으로서,
    기판 프로세싱 시스템은:
    제 1 항 또는 제 2 항 중 어느 한 항에 따른 프로세싱 플랫폼; 및
    2개의 기판들을 운송할 수 있고 그리고 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상으로 그리고 밖으로 2개의 기판들을 동시에 이송할 수 있는 이중-블레이드 이송 로봇을 갖는 이송 챔버를 포함하는,
    기판 프로세싱 시스템.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 로터리 트랙 메커니즘 상에 배치된 각각의 기판 캐리어들은 제 2 회전 속도로 자체-회전할 수 있는,
    기판 프로세싱 시스템.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 프로세싱 플랫폼은 상기 하나 또는 그 초과의 가스 분배 조립체들 사이에 회전식으로 배치된 하나 또는 그 초과의 버퍼 스테이션들을 더 포함하는,
    기판 프로세싱 시스템.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 또는 그 초과의 가스 분배 조립체들 사이에 회전식으로 배치된 하나 또는 그 초과의 처리 스테이션들을 더 포함하는,
    기판 프로세싱 시스템.
  7. 제 6 항에 있어서,
    상기 하나 또는 그 초과의 처리 스테이션들은 플라즈마 프로세싱 스테이션들을 포함하는,
    기판 프로세싱 시스템.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 프로세싱 플랫폼은 상기 로터리 트랙 메커니즘 근처에 회전식으로 배치된 둘 또는 그 초과의 가스 분배 조립체들을 포함하는,
    기판 프로세싱 시스템.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    제 1 처리 스테이션들의 세트 및 제 2 처리 스테이션들의 세트를 더 포함하고, 이에 의해 제 1 처리 스테이션 및 제 2 처리 스테이션은 상기 가스 분배 조립체들 사이에서 상기 로터리 트랙 메커니즘 근처에 회전식으로 위치되는,
    기판 프로세싱 시스템.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    내부에서 동시에 2개의 기판들을 프로세싱하도록 구성된 하나 이상의 이중-기판 프로세싱 스테이션을 갖는 스테이징 플랫폼을 더 포함하는,
    기판 프로세싱 시스템.
  11. 복수의 기판들을 프로세싱하는 방법으로서,
    기판들이, 로터리 트랙 메커니즘 근처의 프로세싱 챔버 내부 주위에 회전식으로 배치되고 실질적으로 동등한 시작 위치들에 위치되도록, 복수의 가스 분배 조립체들을 포함하는 상기 프로세싱 챔버의 상기 로터리 트랙 메커니즘 상으로 복수의 기판들을 로딩하는 단계;
    상기 가스 분배 조립체에 의해 제공되는 복수의 가스 스트림들에 의해서 상기 기판의 표면 상에 층이 증착되도록, 상기 가스 분배 조립체의 제 1 측으로부터 상기 가스 분배 조립체의 제 2 측으로 각각의 기판이 이동하기 위해, 상기 로터리 트랙 메커니즘을 회전시키는 단계;
    원하는 두께의 필름이 형성될 때까지 상기 가스 분배 조립체의 제 1 측으로부터 상기 가스 분배 조립체의 제 2 측으로 각각의 기판이 이동하도록 상기 로터리 트랙 메커니즘을 회전시키는 것을 지속하는 단계; 및
    각각의 기판이 실질적으로 동일한 프로세싱 환경을 경험하도록 상기 복수의 기판들을 상기 프로세싱 챔버로부터 언로딩하는 단계를 포함하는,
    복수의 기판들을 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    각각의 기판이 플라즈마 처리 스테이션 근처에 위치되도록 각각의 기판이 상기 가스 분배 조립체의 제 2 측을 통과한 후에 상기 로터리 트랙 메커니즘을 정지시키는 단계 및 상기 기판의 표면 상에 형성된 필름을 플라즈마 처리하는 단계를 더 포함하는,
    복수의 기판들을 프로세싱하는 방법.
  13. 복수의 기판들을 배치(batch) 프로세싱하기 위한 방법으로서,
    배치 프로세싱 플랫폼의 로터리 트랙 메커니즘 상으로 복수의 기판들 중 2개의 기판들을 로딩하는 단계;
    상기 로터리 트랙 메커니즘 위에서 제 1 거리에 위치된 하나 또는 그 초과의 가스 분배 조립체들 아래에서 상기 복수의 기판들이 이동되고 상기 복수의 기판들이 하나 또는 그 초과의 가스 분배 조립체들을 통과하도록, 상기 로터리 트랙 메커니즘을 연속적으로 회전시키는 단계; 및
    상기 배치 프로세싱 플랫폼의 상기 로터리 트랙 메커니즘으로부터 상기 2개의 기판들을 언로딩하는 단계를 포함하는,
    복수의 기판들을 배치 프로세싱하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 복수의 기판들은 상기 로터리 트랙 메커니즘 상에 배치된 2개의 기판 캐리어들 상에 배치되는,
    복수의 기판들을 배치 프로세싱하기 위한 방법.
  15. 제 13 항에 있어서,
    상기 복수의 기판들 중 2개의 기판들은, 2개의 기판들을 운송할 수 있고 2개의 기판들을 상기 로터리 트랙 메커니즘 상으로 그리고 밖으로 동시에 이송할 수 있는 이중-블레이드 로봇을 사용하여 로딩되는,
    복수의 기판들을 배치 프로세싱하기 위한 방법.
KR1020147024405A 2012-01-31 2013-01-31 멀티-챔버 기판 프로세싱 시스템들 KR20140119182A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261593215P 2012-01-31 2012-01-31
US61/593,215 2012-01-31
US13/754,771 2013-01-30
US13/754,771 US20130196078A1 (en) 2012-01-31 2013-01-30 Multi-Chamber Substrate Processing System
PCT/US2013/024079 WO2013116478A1 (en) 2012-01-31 2013-01-31 Multi-chamber substrate processing systems

Publications (1)

Publication Number Publication Date
KR20140119182A true KR20140119182A (ko) 2014-10-08

Family

ID=48870467

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147024405A KR20140119182A (ko) 2012-01-31 2013-01-31 멀티-챔버 기판 프로세싱 시스템들

Country Status (6)

Country Link
US (1) US20130196078A1 (ko)
JP (1) JP2015512144A (ko)
KR (1) KR20140119182A (ko)
CN (2) CN104081514B (ko)
TW (1) TWI559360B (ko)
WO (1) WO2013116478A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017030414A1 (ko) * 2015-08-20 2017-02-23 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20200038692A (ko) 2018-10-04 2020-04-14 에스케이씨하이테크앤마케팅(주) 점착 조성물 및 이를 이용한 uv 가변형 점착 보호필름

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102135740B1 (ko) * 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20150101906A (ko) * 2014-02-27 2015-09-04 (주)브이앤아이솔루션 얼라이너 구조 및 얼라인 방법
WO2015161225A1 (en) 2014-04-18 2015-10-22 Applied Materials, Inc. Apparatus for susceptor temperature verification and methods of use
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10196741B2 (en) 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9994956B2 (en) 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US20160240405A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
JP6807860B2 (ja) * 2015-03-20 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dコンフォーマル処理用原子層処理チャンバ
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
KR102428681B1 (ko) 2015-08-13 2022-08-04 주성엔지니어링(주) 조명 장치
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
KR102469407B1 (ko) * 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
JP6708167B2 (ja) * 2016-08-03 2020-06-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10246775B2 (en) * 2016-08-03 2019-04-02 Tokyo Electron Limited Film forming apparatus, method of forming film, and storage medium
CN109643641B (zh) * 2016-08-30 2023-06-23 周星工程股份有限公司 基板处理装置
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
JP7242631B2 (ja) 2017-07-24 2023-03-20 アプライド マテリアルズ インコーポレイテッド 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
KR20200021834A (ko) * 2018-08-21 2020-03-02 주성엔지니어링(주) 박막 형성 장치 및 이를 이용한 박막 형성 방법
CN110885973A (zh) * 2018-09-11 2020-03-17 上海引万光电科技有限公司 化学气相沉积设备
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
JP7353199B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜装置
CN116057669A (zh) * 2020-09-29 2023-05-02 芝浦机械电子装置株式会社 成膜装置及成膜方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58181714A (ja) * 1982-04-19 1983-10-24 Sanyo Electric Co Ltd a−si感光体ドラム作成装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4515331B2 (ja) * 2005-05-30 2010-07-28 東京エレクトロン株式会社 基板の処理システム
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
JP5295095B2 (ja) * 2008-12-29 2013-09-18 ケー.シー.テック カンパニー リミテッド 原子層蒸着装置
JP4751460B2 (ja) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017030414A1 (ko) * 2015-08-20 2017-02-23 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20200038692A (ko) 2018-10-04 2020-04-14 에스케이씨하이테크앤마케팅(주) 점착 조성물 및 이를 이용한 uv 가변형 점착 보호필름

Also Published As

Publication number Publication date
TWI559360B (zh) 2016-11-21
CN107267962A (zh) 2017-10-20
JP2015512144A (ja) 2015-04-23
CN107267962B (zh) 2020-01-10
US20130196078A1 (en) 2013-08-01
WO2013116478A1 (en) 2013-08-08
CN104081514B (zh) 2017-07-28
TW201340170A (zh) 2013-10-01
CN104081514A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
CN107267962B (zh) 用于处理多个基板的基板处理系统及方法
JP6591501B2 (ja) 回転式基板処理システム
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
US10236198B2 (en) Methods for the continuous processing of substrates
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
JP6529973B2 (ja) バッチ処理用傾斜プレート及びその使用方法
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
WO2013115957A1 (en) Stacked substrate processing chambers

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application