KR20130132621A - 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물 - Google Patents

동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물 Download PDF

Info

Publication number
KR20130132621A
KR20130132621A KR1020137025332A KR20137025332A KR20130132621A KR 20130132621 A KR20130132621 A KR 20130132621A KR 1020137025332 A KR1020137025332 A KR 1020137025332A KR 20137025332 A KR20137025332 A KR 20137025332A KR 20130132621 A KR20130132621 A KR 20130132621A
Authority
KR
South Korea
Prior art keywords
dielectric layer
forming
disposed
metal
dielectric layers
Prior art date
Application number
KR1020137025332A
Other languages
English (en)
Inventor
닉 린더트
Original Assignee
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코오퍼레이션 filed Critical 인텔 코오퍼레이션
Publication of KR20130132621A publication Critical patent/KR20130132621A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor

Abstract

동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물이 설명된다. 예를 들어, 반도체 구조물은 기판 내에 또는 그 위에 배치된 복수의 반도체 디바이스를 포함한다. 하나 이상의 유전체 층이 복수의 반도체 디바이스 위에 배치된다. 금속 배선은 유전체 층들 각각에 배치된다. 금속 배선은 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다. 금속-절연체-금속(MIM; metal-insulator-metal) 커패시터는, 유전체 층들 중 적어도 하나의 금속 배선에 인접하여 유전체 층들 중 하나에 배치된다. MIM 커패시터는 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다.

Description

동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물{SEMICONDUCTOR STRUCTURE HAVING A CAPACITOR AND METAL WIRING INTEGRATED IN A SAME DIELECTRIC LAYER}
본 발명의 실시예는, 동적 랜덤 액세스 메모리 분야에 관한 것으로, 더욱 구체적으로, 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물에 관한 것이다.
지난 수 십년 동안, 집적 회로의 피쳐(feature)의 스케일링은 지속-성장하는 반도체 산업의 원동력이 되어 왔다. 더 작은 피쳐로의 스케일링은 반도체 칩의 제한된 면적 상에서의 기능 유닛들의 증가된 밀도를 가능케 한다. 예를 들어, 트랜지스터 크기를 줄이는 것은 칩 상의 증가된 개수의 메모리 디바이스의 병합을 허용하여, 증가된 용량을 갖는 제품의 제조로 이어진다. 그러나, 훨씬 많은 용량을 향한 추진이 문제가 없는 것은 아니다. 각 디바이스의 성능을 최적화할 필요성이 갈수록 중요해지고 있다.
DRAM(Dynamic Random Access Memory)과 같은 반도체 디바이스에서, 각 셀은 하나의 트랜지스터 및 하나의 커패시터로 구성된다. DRAM에서, 셀은 주기적인 판독과 리프레싱을 요구한다. 단위 비트당 낮은 가격, 고집적화, 및 동시 판독과 기입 동작을 수행할 수 있는 능력 덕택에, DRAM은 상업용 응용에서 광범위한 사용을 향유해 왔다. 한편, 외부 요인으로 인해 커패시터에 저장된 전하의 손실에 의해 DRAM 디바이스에는 "소프트 에러"라 불리는 현상이 야기될 수 있고, 이로써 DRAM의 오동작을 야기한다. 소프트 에러의 발생을 방지하기 위해, 커패시터의 커패시턴스를 향상시키는 방법이 제안되어 왔다. 그러나, 지속적으로 증가하는 반도체 디바이스의 높은 집적도로 인해 실제적인 제조 공정을 체계화하는데에는 도전과제들이 제기되고 있다.
또한, 금속 라인들은 통상적으로 커패시터 층들과는 별개의 층들에 통합된다. 예에서, 구리 금속 층은 커패시터 그룹 위에 형성되고 커패시터와 동일한 층에서 연장되지 않는다. 도 1은, 금속 라인들의 비아가 커패시터 유전체 층을 통해 형성되어 상부 금속 라인 층들을 하부 디바이스 층들에 접속하는 이러한 예를 나타낸다. 구체적으로, 도 1은 종래 기술에 따른, 금속 배선을 수용하는데 이용되는 유전체 층과는 구분되는 유전체 층에 형성된 커패시터의 단면도이다.
도 1을 참조하면, 제1 층간 절연층(103)은 셀 어레이 영역(102)을 갖는 반도체 기판(101) 상에 형성된다. 제1 층간 절연층(103)은 패터닝되어 셀 어레이 영역(102) 상의 반도체 기판(101)을 노출시키는 컨택트 홀을 형성하고, 컨택트 홀은 도전성 재료로 채워져 하부 전극 컨택트 플러그(105A)를 형성한다. 에칭 정지층(107) 및 제2 층간 절연층(109)은 결과 구조물 상에 순차적으로 형성된다.
제2 층간 절연층(109) 및 에칭 정지층(107)은 셀 어레이 영역(102)에서 순차적으로 에칭되어 하부 전극 컨택트 플러그(105A) 및 스토리지 노드 홀(111)을 형성하여 하부 전극 컨택트 플러그 주변의 제1 층간 절연층(103)을 노출시킨다. 하부 전극을 위한 재료층이 결과 구조물 상에 컨포멀 적층된(conformally stacked) 후에, 평탄화 공정이 실행되어 하부 전극(113)을 형성하여 스토리지 노드 홀(111)의 안쪽 측벽 및 하부를 덮는다. 유전체 층(115) 및 상부 전극층(117)은 반도체 기판(101) 상에 순차적으로 적층되어 패터닝된다. 금속 라인(122)의 비아(124)가 유전체 층(예를 들어, 유전체층(109), 및 심지어 층간 유전체 층(120))을 통해 형성되어 상부 금속 라인(122) 층을 셀 어레이 영역(102)을 갖는 반도체 기판(101)에 접속한다.
도 1은 종래 기술에 따른, 금속 배선을 수용하는데 이용되는 유전체 층과는 구분되는 유전체 층에 형성된 커패시터의 단면도이다.
도 2a는 본 발명의 실시예에 따른, 금속 배선을 수용하는 단일 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 2b는 본 발명의 실시예에 따른, 각각이 금속 배선을 수용하는 2개의 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 3은 본 발명의 실시예에 따른, 제4 레벨 금속 배선을 수용하는 단일 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 4는 본 발명의 실시예에 따른, 제3 레벨 및 제4 레벨 금속 배선을 수용하는 2개의 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 5는 본 발명의 실시예에 따른, 동일한 유전체 층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물을 형성하는 방법에서의 동작들을 나타내는 플로차트이다.
동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물이 설명된다. 이하의 설명에서, 본 발명의 실시예의 철저한 이해를 제공하기 위하여, 특정한 금속 배선층 수 및 재료 체계와 같은 많은 특정 세부사항이 개시된다. 본 발명의 실시예들은 이들 특정 세부사항 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예에서, 집적 회로 설계 레이아웃과 같은 공지된 피쳐들은 본 발명의 실시예를 불필요하게 흐리게 하지 않기 위하여 상세히 설명되지 않는다. 또한, 도면들에 도시된 다양한 실시예는 예시적인 표현이며 반드시 축척 비율대로 그려진 것은 아님을 이해해야 한다.
커패시터 구조물을 금속 배선층과 병합하는 것에 대한 종래의 접근법은, 커패시터 층 이후 및 그 위에 구리선과 같은 금속 배선을 도입하고 있을 뿐이다. 이러한 배열에서, 금속 배선층은 커패시터 구조물을 수용하는데 이용되는 유전체층들과 유전체층을 공유하지 않는다. 또한, 종래의 아키텍처에서, 하부 전극의 표면적을 증가시켜 커패시턴스를 증가시키기 위한 방법으로서 하부 전극의 높이를 증가시키기 위한 방법들이 이용가능하다. 하나의 이러한 방법에서, 하부 전극이 위치하는 유전체 층의 두께가 증가된다. 그러나, 두께가 증가되면, 금속 컨택트 홀이 형성될 때 많은 양의 에칭이 요구되므로 공정 부담도 역시 증가된다. 또한, 금속 배선은 유전체 층에 수용되지 않으므로, 이러한 접근법은 금속 배선층과 각각의 디바이스 층 사이에 훨씬 큰 간격을 생성한다.
본 발명의 실시예에 따르면, 예를 들어, 임베디드 동적 랜덤 액세스 메모리(DRAM; dynamic random access memory) 제품을 위한 커패시터 구조물은 금속 배선층과 병합되어 금속 배선층을 수용하는 하나 이상의 유전체 층을 공유한다. 예를 들어, 한 실시예에서, 커패시터 구조물의 높이는 본질적으로 2개의 금속 배선 유전체 층의 높이이고, 커패시터 구조물은 2개의 금속 배선층에 인접하게 형성된다. 또 다른 실시예에서, 커패시터 구조물의 높이는 본질적으로 단 하나의 금속 배선 유전체 층의 높이이고, 커패시터 구조물은 하나의 금속 배선층에 인접하게 형성된다. 그러나, 커패시터 높이는 충분한 커패시턴스를 공급하기 위하여 2개 이상의 유전체 층의 높이가 될 필요가 있을 수 있다. 커패시터 구조물은 금속 배선층의 포멧팅 이후에 금속 배선 유전체 층(들)에 형성될 수 있다. 이러한 접근법은 로직 (CPU) 프로세스 내로의 DRAM 커패시터의 임베딩을 허용한다. 대조적으로, 종래의 접근법은 DRAM 프로세스로 시작하고 나중에 로직 능력을 추가하여 임베디드 DRAM을 제조한다.
여기서 설명된 임베디드 DRAM은 제1 칩 상에 포함되어 제2 칩 상의 마이크로프로세서와 함께 팩키징될 수 있다. 대안으로서, 여기서 설명된 임베디드 DRAM은 마이크로프로세서와 동일한 칩 상에 포함되어 모놀리식 제조 프로세스(monolithic fabrication process)를 제공할 수 있다.
동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물이 여기서 개시된다. 한 실시예에서, 반도체 구조물은 기판 내에 또는 그 위에 배치된 복수의 반도체 디바이스를 포함한다. 하나 이상의 유전체 층이 복수의 반도체 디바이스 위에 배치된다. 금속 배선은 유전체 층들 각각에 배치된다. 금속 배선은 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다. 금속-절연체-금속(MIM; metal-insulator-metal) 커패시터는, 유전체 층들 중 적어도 하나의 금속 배선에 인접하게 유전체 층들 중 하나에 배치된다. MIM 커패시터는 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다.
또한, 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물의 제조 방법이 여기서 개시된다. 한 실시예에서, 방법은 기판 내에 또는 기판 위에 복수의 반도체 디바이스를 형성하는 단계를 포함한다. 하나 이상의 유전체 층이 복수의 반도체 디바이스 위에 형성된다. 금속 배선은 유전체 층들 각각에 형성된다. 금속 배선을 형성하는 단계는 금속 배선을 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 단계를 포함한다. 금속-절연체-금속(MIM) 커패시터는, 유전체 층들 중 적어도 하나의 금속 배선에 인접하게 유전체 층들 중 하나에 형성된다. MIM 커패시터를 형성하는 단계는 MIM 커패시터를 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 단계를 포함한다.
본 발명의 양태에서, 임베디드 금속-절연체-금속(MIM) 커패시터는 금속 배선과 동일한 유전체 층에 포함된다. 예를 들어, 도 2a는 본 발명의 실시예에 따른, 금속 배선을 수용하는 단일 유전체층에 형성된 커패시터의 단면도를 나타낸다. 또 다른 예에서, 도 2b는 본 발명의 실시예에 따른, 각각이 금속 배선을 수용하는 2개의 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 2a 및 도 2b를 참조하면, 반도체 구조물(200A 또는 200B)은 각각 기판(202) 내에 또는 그 위에 배치된 복수의 반도체 디바이스를 포함한다. 하나 이상의 유전체 층(204)이 기판(202) 내의 또는 그 위의 복수의 반도체 디바이스 위에 배치된다. 구리 금속 배선과 같은 금속 배선(206)이 유전체 층(204)들 각각에 배치된다. 금속 배선(206)은 기판(202) 내의 또는 그 위의 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다. 금속-절연체-금속(MIM) 커패시터(208A 또는 208B) 각각은 유전체 층(204)들 중 적어도 하나에 배치된다. MIM 커패시터(208A 또는 208B)는 유전체 층(204)들 중 적어도 하나의 금속 배선(206)에 인접하고, 기판(202) 내의 또는 그 위의 반도체 디바이스들 중 하나 이상에 전기적으로 결합된다.
금속 배선(206)이란, 예를 들어, 인터커넥트 라인(interconnect line)으로서 이용되는 금속 라인을 말한다는 것을 이해해야 한다. 금속 배선(206)은, 유전체 층(들)(204)에 역시 수용되어 상이한 유전체 층(204) 내의 금속 배선(206)을 결합하거나 금속 배선을 어떤 다른 전기적 컨택트, 예를 들어, 컨택트(210)와 결합하는데 이용될 수 있는 비아, 예를 들어, 비아(207)와는 구분된다. 컨택트(210)는, 또 다른 비아, 또 다른 금속 배선, 또는 비아(207)와 반도체 디바이스 사이에 형성된 실제의 컨택트 구조물을 나타낼 수 있다. MIM 커패시터(208A 또는 208B)는, 어떤 전기적 컨택트, 예를 들어, 컨택트(212)를 통해 기판(202) 내의 또는 그 위의 반도체 디바이스들 중 하나 이상에 전기적으로 결합될 수 있다. 컨택트(212)는, 또 다른 비아, 또 다른 금속 배선, 또는 MIM 커패시터(208A 또는 208B)의 하부와 반도체 디바이스 사이에 형성된 실제의 컨택트 구조물을 나타낼 수 있다. 실시예에서, 금속 배선(206)의 적어도 일부는 로직 회로에 포함된 하나 이상의 반도체 디바이스에 전기적으로 결합되고, MIM 커패시터(208A 또는 208B)는 임베디드 동적 랜덤 액세스 메모리(eDRAM; embedded dynamic random access memory) 커패시터이다. MIM 커패시터의 상부 전극은 MIM 커패시터의 위의 인터커넥트 또는 금속 배선 층으로부터 비아에 의해 접속될 수 있다. 한 실시예에서, 이러한 접속은 eDRAM의 공통 또는 접지 접속을 제공한다.
도 2a를 참조하면, 한 실시예에서, MIM 커패시터(208A)는 유전체 층(204)들 중 하나에만 배치된다. 도 2b를 참조하면, MIM 커패시터(208B)는 유전체 층(204)들 중 2개에만 배치된다. 그 실시예에서, MIM 커패시터(208B)는 2개의 유전체 층(204)들 각각의 금속 배선(206)에 인접하고, 또한 2개의 유전체층(204)들 각각의 금속 배선(206)을 결합하는 비아(207)에도 인접한다. 다른 실시예에서, MIM 커패시터는 2개보다 많은 유전체 층에 배치되고 2개보다 많은 유전체 층들 모두의 금속 배선에 인접한다.
다시 도 2a 및 도 2b를 참조하면, 반도체 구조물(200A 및 200B)은, 각각, 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물 에칭 정지층과 같은, 하나 이상의 에칭 정지층(214)을 더 포함한다. 예를 들어, 에칭 정지층은, 도 2a 및 도 2b에 도시된 바와 같이, 유전체 층(204)들 각각 사이에 배치되거나, 기판(202)에 가장 가까운 유전체 층 바로 아래에 배치될 수 있다. 실시예에서, MIM 커패시터(208A 또는 208B)는 유전체 층(204)들 중 적어도 하나에 배치된 트렌치(216A 또는 216B)에 각각 배치된다. MIM 커패시터는, 트렌치(216A 또는 216B)의 하부 및 측벽을 따라 배치된 컵-형상의 금속판(218)을 포함한다. 제2 유전체 층(220)은 컵-형상의 금속판(218) 상에 컨포멀 배치된다. 트렌치-충전 금속판(trench-fill metal plate)(222)은 제2 유전체 층(220) 상에 배치된다. 제2 유전체 층(220)은 트렌치-충전 금속판(222)을 컵-형상의 금속판(218)으로부터 격리시킨다.
실시예에서, 트렌치-충전 금속판(222)은 대부분 구리로 구성된다. 실시예에서, 컵-형상의 금속판(218)은, 트렌치(216A 또는 216B)의 하부에 근접하고 제2 유전체 층(220)으로부터 먼 구리층으로 구성되고, 또한 제2 유전체 층(220)에 근접하고 트렌치(216A 또는 216B)의 하부로부터 먼 금속 질화물층으로 구성된다. 한 실시예에서, 금속 질화물층은 탄탈 질화물층 또는 티타늄 질화물층이다. 실시예에서, 컵-형상의 금속판(218)의 구리층 또는 금속 질화물층 또는 트렌치-충전 금속판(222)의 구리 중 하나 이상은, 전기-화학 피착 프로세스, 무전해 피착 프로세스, 화학적 기상 피착 프로세스, 원자 층 피착(ALD; atomic layer deposition) 프로세스 또는 리플로우 프로세스와 같은 기술에 의해 형성되지만, 이것으로 제한되는 것은 아니다. 은, 알루미늄, 또는 구리, 은 또는 알루미늄의 합금이 상기 설명된 구리 대신에 이용될 수 있다는 점을 이해하여야 한다. 또한, 컵-형상의 금속판(218)은 구리, 은, 알루미늄 또는 그 합금으로부터 형성된 단일층 피쳐일 수도 있다. 대안적 실시예에서, 트렌치-충전 금속판(222)은 복수의 층 구조물을 포함한다. 실시예에서, 컵-형상의 금속판(218)은, 컨택트 또는 추가의 금속 배선층일 수 있는 바닥 금속층(floor metal layer)에 의해 기저 반도체 디바이스에 전기적으로 결합된다.
실시예에서, 트렌치의 측벽은 수직 또는 준-수직(near-vertical) 프로파일, 예를 들어, 도 2b에 도시된 트렌치(216B)의 수직 또는 준-수직 프로파일을 포함한다. 또 다른 실시예에서, 트렌치의 측벽은 유전체 층(204)들 중 적어도 하나의 하부로부터 유전체 층(204)들 중 적어도 하나의 상부까지 바깥쪽으로 점점 가늘어진다(taper), 예를 들어, 도 2a에 도시된 트렌치(216A)의 테이퍼링된 프로파일. 도시된 2개의 실시예와는 대조적으로, 다른 실시예는 단일 유전체 층(204)에 형성된 트렌치에 대한 수직 프로파일, 또는 2개 이상의 유전체 층(204)에 형성된 트렌치에 대해 테이퍼링된 프로파일을 포함한다.
실시예에서, 유전체 층(204)들 중 적어도 하나는 로우-K 유전체 층(실리콘 이산화물(silicon dioxide)의 경우 4보다 작은 유전 상수를 갖는 층)이다. 한 실시예에서, 유전체 층(204)들 중 적어도 하나는, 스핀-온(spin-on) 프로세스, 화학적 기상 피착 프로세스, 또는 폴리머-기반의 화학적 기상 피착 프로세스와 같은 프로세스에 의해 형성되지만, 이것으로 제한되는 것은 아니다. 특정 실시예에서, 유전체 층(204)들 중 적어도 하나는 전구체 가스(precursor gas)로서 실란(silane) 또는 유기-실란을 포함하는 화학적 기상 피착 프로세스에 의해 형성된다. 실시예에서, 유전체 층(204)들 중 적어도 하나는, 유전체 층(204)들 중 적어도 하나에 또는 그 상에 후속해서 형성된 일련의 금속 인터커넥트 사이의 누설 전류에 상당히 기여하지 않는 재료로 구성된다. 한 실시예에서, 유전체 층(204)들 중 적어도 하나는 2.5 내지 4 미만의 범위의 재료로 구성된다. 특정한 실시예에서, 유전체 층(204)들 중 적어도 하나는, 0-10% 공극률(porosity)을 갖는 탄소-도핑된 산화물 또는 실리케이트와 같은 재료로 구성되지만, 이것으로 제한되는 것은 아니다. 그러나, 또 다른 실시예에서, 유전체 층(204)들 중 적어도 하나는 실리콘 이산화물로 구성된다.
실시예에서, 제2 유전체 층(220)은 하이-K 유전체 층(실리콘 이산화물의 경우 4보다 큰 유전 상수를 갖는 층)으로 구성된다. 한 실시예에서, 제2 유전체 층(220)은, 원자 기상 피착 프로세스 또는 화학적 기상 피착 프로세스에 의해 형성되고, 실리콘 산질화물, 하프늄 산화물, 지르코늄 산화물, 하프늄 실리케이트, 하프늄 산질화물, 티타늄 산화물, 또는 란탄 산화물과 같은 재료로 구성되지만, 이것으로 제한되는 것은 아니다. 그러나, 또 다른 실시예에서, 제2 유전체 층(220)은 실리콘 이산화물로 구성된다.
실시예에서, 기판(202)은 반도체 디바이스 제조에 적합한 재료로 구성된다. 한 실시예에서, 기판(202)은, 실리콘, 게르마늄, 실리콘-게르마늄 또는 III-V 화합물 반도체 재료를 포함할 수 있지만 이것으로 제한되지 않는 재료로 된 단결정(single crystal)으로 구성된 벌크 기판이다. 또 다른 실시예에서, 기판(202)은 상부 에피텍셜(epitaxial layer) 층을 갖는 벌크층을 포함한다. 특정 실시예에서, 벌크층은 실리콘, 게르마늄, 실리콘-게르마늄, III-V 화합물 반도체 재료 또는 석영을 포함할 수 있지만 이것으로 제한되지 않는 재료로 된 단결정으로 구성되는 반면, 상부 에피텍셜층은 실리콘, 게르마늄, 실리콘-게르마늄 또는 III-V 화합물 반도체 재료를 포함할 수 있지만 이것으로 제한되지 않는 단결정층으로 구성된다. 또 다른 실시예에서, 기판(202)은 하부 벌크층 위에 있는 중간 절연층 상에 상부 에피텍셜층을 포함한다. 상부 에피텍셜층은, (예를 들어, 실리콘-온-절연체(SOI) 반도체 기판을 형성하기 위해) 실리콘, 게르마늄, 실리콘-게르마늄 또는 III-V 화합물 반도체 재료를 포함할 수 있지만 이것으로 제한되지 않는 단결정층으로 구성된다. 절연층은, 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있지만 이것으로 제한되지 않는 재료로 구성된다. 하부 벌크층은, 실리콘, 게르마늄, 실리콘-게르마늄, III-V 화합물 반도체 재료 또는 석영을 포함할 수 있지만 이것으로 제한되지 않는 단결정으로 구성된다. 기판(202)은 도펀트 불순물 원자들을 더 포함할 수 있다.
본 발명의 실시예에 따르면, 기판(202)은 그 상에 또는 그 내부에, 실리콘 기판에 제작되고 유전체층으로 감싼 상보형 금속-산화물-반도체(CMOS) 트랜지스터들의 어레이를 가진다. 복수의 금속 인터커넥트가 트랜지스터들 위에 및 주변 유전체층 상에 형성될 수 있고, 트랜지스터들을 전기적으로 접속하여 집적 회로를 형성하는데 이용된다. 한 실시예에서, DRAM에 대해 집적 회로가 이용된다.
본 발명의 또 다른 양태에 따르면, 전술된 커패시터와 같은 임베디드 금속-절연체-금속(MIM) 커패시터가 제4 금속 배선의 유전체 층에 포함된다. 예를 들어, 도 3은, 본 발명의 실시예에 따른, 제4 레벨 금속 배선을 수용하는 단일 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 3을 참조하면, 반도체 구조물(300)은 기판(302) 내에 또는 그 위에 배치된 복수의 반도체 디바이스(304)를 포함한다. 제1 유전체 층(306)은 복수의 반도체 디바이스(304) 위에 배치되고, 복수의 반도체 디바이스(304)에 전기적으로 결합된 컨택트(308)를 그 내부에 배치하고 있다.
제2 유전체 층(310)은 제1 유전체 층(306) 위에 배치되고 그 내부에 제1 금속 배선(314) 및 제1 금속 배선(314)을 컨택트(308)에 결합하는 하나 이상의 비아(312)를 배치하고 있다. 제3 유전체 층(316)은 제2 유전체 층(310) 위에 배치되고 그 내부에 제2 금속 배선(320) 및 제2 금속 배선(320)을 제1 금속 배선(314)에 결합하는 하나 이상의 비아(318)를 배치하고 있다. 제4 유전체 층(322)은 제3 유전체 층(316) 위에 배치되고 그 내부에 제3 금속 배선(326) 및 제3 금속 배선(326)을 제2 금속 배선(320)에 결합하는 하나 이상의 비아(324)를 배치하고 있다. 제5 유전체 층(328)은 제4 유전체 층(322) 위에 배치되고 그 내부에 제4 금속 배선(332) 및 제4 금속 배선(332)을 제3 금속 배선(326)에 결합하는 하나 이상의 비아(330)를 배치하고 있다.
제5 유전체 층(328)은 또한 그 내부에 금속-절연체-금속(MIM) 커패시터(334)의 적어도 일부를 배치하고 있다. MIM 커패시터(334)는 제4 금속 배선(332)에 인접한다. MIM 커패시터는, 예를 들어, 금속 배선 및 비아의 스택(342)에 의해 및 컨택트(308)를 통해 반도체 디바이스(304)들 중 하나 이상에 전기적으로 결합된다. 제6 유전체 층(336)은 제5 유전체 층(328) 위에 배치되고 그 내부에 제5 금속 배선(340) 및 제5 금속 배선(340)을 제4 금속 배선(332)에 결합하는 하나 이상의 비아(338)를 배치하고 있다. 실시예에서, MIM 커패시터(334)는, 도 3에 도시된 바와 같이, 제5 유전체 층(328)에 배치되지만, 각각 제4 또는 제6 유전체 층(322 또는 336)에는 배치되지 않는다. 역시 도 3에 도시된 바와 같이, 금속 배선(344)은 MIM 커패시터(334) 위에 배치될 수 있지만, MIM 커패시터(334)와 결합될 필요는 없다.
또 다른 예에서, 도 4는 본 발명의 실시예에 따른, 제3 레벨 및 제4 레벨 금속 배선을 수용하는 2개의 유전체층에 형성된 커패시터의 단면도를 나타낸다.
도 4를 참조하면, 반도체 구조물(400)은 기판(402) 내에 또는 그 위에 배치된 복수의 반도체 디바이스(404)를 포함한다. 제1 유전체 층(406)은 복수의 반도체 디바이스(404) 위에 배치되고, 복수의 반도체 디바이스(404)에 전기적으로 결합된 컨택트(408)를 그 내부에 배치하고 있다.
제2 유전체 층(410)은 제1 유전체 층(406) 위에 배치되고 그 내부에 제1 금속 배선(414) 및 제1 금속 배선(414)을 컨택트(408)에 결합하는 하나 이상의 비아(412)를 배치하고 있다. 제3 유전체 층(416)은 제2 유전체 층(410) 위에 배치되고 그 내부에 제2 금속 배선(420) 및 제2 금속 배선(420)을 제1 금속 배선(414)에 결합하는 하나 이상의 비아(418)를 배치하고 있다. 제4 유전체 층(422)은 제3 유전체 층(416) 위에 배치되고 그 내부에 제3 금속 배선(426) 및 제3 금속 배선(426)을 제2 금속 배선(420)에 결합하는 하나 이상의 비아(424)를 배치하고 있다. 제5 유전체 층(428)은 제4 유전체 층(422) 위에 배치되고 그 내부에 제4 금속 배선(432) 및 제4 금속 배선(432)을 제3 금속 배선(426)에 결합하는 하나 이상의 비아(430)를 배치하고 있다.
제5 유전체 층(428)은 또한 그 내부에 금속-절연체-금속(MIM) 커패시터(434)의 적어도 일부를 배치하고 있다. MIM 커패시터(434)는 제4 금속 배선(432)에 인접한다. MIM 커패시터는, 예를 들어, 금속 배선 및 비아의 스택(442)에 의해 및 컨택트(408)를 통해 반도체 디바이스(404)들 중 하나 이상에 전기적으로 결합된다. 제6 유전체 층(436)은 제5 유전체 층(428) 위에 배치되고 그 내부에 제5 금속 배선(440) 및 제5 금속 배선(440)을 제4 금속 배선(432)에 결합하는 하나 이상의 비아(438)를 배치하고 있다. 실시예에서, 도 4에 도시된 바와 같이, MIM 커패시터(434)의 또 다른 일부는 제3 금속 배선(426)에 인접한 제4 유전체 층(422)에 배치되지만, MIM 커패시터(434)의 어떠한 부분도 각각 제3 또는 제6 유전체 층(416 또는 436)에 배치되지 않는다. 역시 도 4에 도시된 바와 같이, 금속 배선(444)은 MIM 커패시터(434) 위에 배치될 수 있지만, MIM 커패시터(434)와 결합될 필요는 없다.
도 3 및 도 4 양쪽 모두를 참조하면, 실시예에서, 제4 금속 배선(332 또는 432)의 적어도 일부는 로직 회로에 포함된 하나 이상의 반도체 디바이스(308 또는 408)에 전기적으로 결합되고, MIM 커패시터(334 또는 434)는 임베디드 동적 랜덤 액세스 메모리(eDRAM) 커패시터이다. 실시예에서, 반도체 구조물(300 또는 400)은 복수의 에칭 정지층(350 또는 450)을 각각 더 포함한다. 도시된 바와 같이, 에칭 정지층은 제1(306 또는 406), 제2(310 또는 410), 제3(316 또는 416), 제4(322 또는 422), 제5(328 또는 428), 및 제6(336 또는 436) 유전체 층들 각각 사이에 배치될 수 있다.
실시예에서, MIM 커패시터(334 또는 434)는 적어도 제5 유전체 층(328 또는 428)에 배치된 트렌치(360 또는 460)에 각각 배치된다. 하나의 이러한 실시예에서, MIM 커패시터(334 또는 434)는, 트렌치(360 또는 460)의 하부 및 측벽을 따라 배치된 컵-형상의 금속판(997)을 포함한다. 제7 유전체 층(998)은 컵-형상의 금속판(997) 상에 컨포멀 배치된다. 트렌치-충전 금속판(999)은 제7 유전체 층(998) 상에 배치된다. 제7 유전체 층(998)은 트렌치-충전 금속판(999)을 컵-형상의 금속판(997)으로부터 격리시킨다. 특정 실시예에서, 트렌치의 측벽은, 도 4의 트렌치(460)에 대해 도시된 바와 같이, 수직 또는 준-수직 프로파일을 가진다. 또 다른 특정 실시예에서, 도 3의 트렌치(360)에 대해 도시된 바와 같이, 트렌치의 측벽은 제5 유전체 층(328 또는 428)의 하부로부터 상부까지 바깥쪽으로 점점 가늘어진다.
실시예에서, 제2(310 또는 410), 제3(316 또는 416), 제4(322 또는 422), 제5(328 또는 428) 및 제6(336 또는 436) 유전체 층은 로우-K 유전체 층이고, 제7 유전체 층(998)은 하이-K 유전체 층이다. 도 3 및 도 4의 반도체 구조물(300 및 400)의 피쳐들에 대한 다른 재료 또는 구조적 세부사항은, 각각, 반도체 구조물(200A 및 200B)에 대해 전술된 바와 같을 수 있다.
다른 실시예에서, MIM 커패시터(334 또는 434)의 아래 또는 위에는, 추가의 단일 또는 복수 층의 유전체 층 및/또는 금속 라인들이 형성될 수도 있다는 것을 이해하여야 한다. 또한, 다른 실시예에서, MIM 커패시터(334 또는 434)의 아래 또는 위로부터, 단일 또는 복수 층의 유전체 층 및/또는 금속 라인들이 제거될 수도 있다. 다른 실시예에서, MIM 커패시터(334 또는 434)는 추가의 하나 이상의 층들의 유전체 층에 형성된다. 한 예시적인 실시예에서, 도 4를 참조하면 (비록 도시되지는 않았지만), MIM 커패시터(434)의 또 다른 부분이, 제3(426) 및 제5(440) 금속 배선에 인접하게, 제4(422) 및 제6(436) 유전체 층 양쪽 모두에 배치된다. 그러나, 하나의 이러한 실시예에서, MIM 커패시터의 어떠한 부분도 제3 유전체 층(416)에 배치되지 않는다.
본 발명의 또 다른 양태에서, 반도체 디바이스를 위한 임베디드 금속-절연체-금속(MIM) 커패시터를 제조하는 방법이 제공된다. 도 5는 본 발명의 실시예에 따른, 동일한 유전체 층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물을 형성하는 방법에서의 동작들을 나타내는 플로차트(500)이다.
플로차트(500)의 동작(502)을 참조하면, 복수의 반도체 디바이스가 기판 내에 또는 그 위에 형성된다.
플로차트(500)의 동작(504)을 참조하면, 하나 이상의 유전체 층이 복수의 반도체 디바이스 위에 형성된다.
플로차트(500)의 동작(506)을 참조하면, 금속 배선이 유전체 층들 각각에 형성된다. 실시예에서, 금속 배선을 형성하는 단계는 금속 배선을 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 단계를 포함한다. 한 실시예에서, 금속 배선을 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 단계는 로직 회로에 포함된 하나 이상의 반도체 디바이스에 결합하는 단계를 포함한다.
플로차트(500)의 동작(508)을 참조하면, 금속-절연체-금속(MIM) 커패시터는, 유전체 층들 중 적어도 하나의 금속 배선에 인접하게 상기 유전체 층들 중 적어도 하나에 형성된다. 실시예에서, MIM 커패시터를 형성하는 단계는 MIM 커패시터를 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 단계를 포함한다. 한 실시예에서, MIM 커패시터를 형성하는 단계는 임베디드 동적 랜덤 액세스 메모리(eDRAM) 커패시터를 형성하는 단계를 포함한다.
본 발명의 실시예에 따르면, MIM 커패시터를 형성하는 단계는 유전체 층들 중 하나에만 MIM 커패시터를 형성하는 단계를 포함한다. 또 다른 실시예에서, MIM 커패시터를 형성하는 단계는, 2개의 유전체 층들 각각의 금속 배선에 인접하게, 또한 2개의 유전체 층들 각각의 금속 배선을 결합하는 비아에 인접하게, 상기 2개의 유전체 층들에만 MIM 커패시터를 형성하는 단계를 포함한다. 하나의 이러한 실시예에서, 이 방법은 2개의 유전체 층들 중 첫 번째를 형성하는 단계에 후속하여 그리고 2개의 유전체 층들 중 두 번째와 MIM 커패시터의 형성 이전에, 2개의 유전체 층들 중 첫 번째 상에 에칭 정지층을 형성하는 단계를 더 포함한다. 그 다음, 에칭 정지층은 패터닝되어 후속하여 MIM 커패시터를 형성하기 위한 영역을 개방한다. 2개의 유전체 층들 중 두 번째는 패터닝된 에칭 정지층 상에 및 상기 영역에 형성된다. 역시 또 다른 실시예에서, MIM 커패시터를 형성하는 단계는, 2개보다 많은 유전체 층 모두의 금속 배선에 인접하게, 2개보다 많은 유전체 층에 MIM 커패시터를 형성하는 단계를 포함한다.
실시예에서, MIM 커패시터를 형성하는 단계는, 유전체 층들 중 하나에 트렌치를 형성하는 단계, 트렌치의 하부와 측벽을 따라 컵-형상의 금속판을 형성하는 단계, 컵-형상의 금속판 상에 제2 유전체 층을 컨포멀 형성하는 단계, 및 제2 유전체 층 상에 트렌치-충전 금속판을 형성하는 단계를 포함하고, 상기 제2 유전체 층은 트렌치-충전 금속판을 컵-형상의 금속판으로부터 격리시킨다. 하나의 이러한 실시예에서, 트렌치를 형성하는 단계는 수직 또는 준-수직 프로파일을 갖도록 트렌치의 측벽을 형성하는 단계를 포함한다. 또 다른 이러한 실시예에서, 트렌치를 형성하는 단계는, 유전체 층들 중 적어도 하나의 하부로부터 유전체 층들 중 적어도 하나의 상부까지 바깥쪽으로 점점 가늘어지도록 트렌치의 측벽을 형성하는 단계를 포함한다. 실시예에서, 제2 유전체 층을 형성하는 단계는 하이-K 유전체 층을 형성하는 단계를 포함한다.
실시예에서, 동일한 유전체 층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물을 제조하는 방법은, 유전체 층들 각각의 사이에, 및 기판에 가장 가까운 유전체 층 바로 아래에 에칭-정지층을 형성하는 단계를 포함한, 하나 이상의 에칭-정지층을 형성하는 단계를 더 포함한다. 실시예에서, 하나 이상의 유전체 층을 형성하는 단계는 하나 이상의 로우-K 유전체 층을 형성하는 단계를 포함한다. 제조된 반도체 구조물의 피쳐들에 대한 다른 재료 및 구조적 세부사항은, 반도체 구조물(200A, 200B, 300 및 400)에 대해 전술된 것과 같을 수 있다.
이와 같이, 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물이 설명되었다. 실시예에서, 반도체 구조물은 기판 내에 또는 그 위에 배치된 복수의 반도체 디바이스를 포함한다. 반도체 구조물은 또한, 복수의 반도체 디바이스 위에 배치된 하나 이상의 유전체 층을 포함한다. 반도체 구조물은 또한, 유전체 층들 각각에 배치되고 반도체 디바이스들 중 하나 이상에 전기적으로 결합된 금속 배선을 포함한다. 반도체 구조물은 또한, 유전체 층들 중 적어도 하나의 금속 배선에 인접하게, 및 반도체 디바이스들 중 하나 이상에 전기적으로 결합되어, 유전체 층들 중 하나에 배치된 금속-절연체-금속(MIM) 커패시터를 포함한다. 하나의 이러한 실시예에서, 금속 배선의 적어도 일부는 로직 회로에 포함된 하나 이상의 반도체 디바이스에 전기적으로 결합되고, MIM 커패시터는 임베디드 동적 랜덤 액세스 메모리(eDRAM) 커패시터이다.

Claims (30)

  1. 반도체 구조물로서,
    기판에 또는 그 위에 배치된 복수의 반도체 디바이스;
    상기 복수의 반도체 디바이스 위에 배치된 하나 이상의 유전체 층;
    상기 유전체 층들 각각에 배치되고 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합된 금속 배선; 및
    상기 유전체 층들 중 적어도 하나에 배치되고, 상기 유전체 층들 중 상기 적어도 하나의 금속 배선에 인접하게, 및 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합되는 금속-절연체-금속(MIM; metal-insulator-metal) 커패시터
    를 포함하는 반도체 구조물.
  2. 제1항에 있어서, 상기 금속 배선의 적어도 일부는 로직 회로에 포함된 하나 이상의 반도체 디바이스에 전기적으로 결합되고, 상기 MIM 커패시터는 임베디드 동적 랜덤 액세스 메모리(eDRAM; embedded dynamic random access memory) 커패시터인, 반도체 구조물.
  3. 제1항에 있어서, 상기 MIM 커패시터는 상기 유전체 층들 중 하나에만 배치되는, 반도체 구조물.
  4. 제1항에 있어서, 상기 MIM 커패시터는 상기 유전체 층들 중 2개의 유전체 층에만 배치되고, 상기 2개의 유전체 층 각각의 금속 배선에 인접하게, 또한 상기 2개의 유전체 층 각각의 금속 배선을 결합하는 비아에 인접하게 배치되는, 반도체 구조물.
  5. 제1항에 있어서, 상기 MIM 커패시터는 상기 유전체 층들 중 2개보다 많은 유전체 층에 배치되고, 상기 2개보다 많은 유전체 층 모두의 금속 배선에 인접하게 배치되는, 반도체 구조물.
  6. 제1항에 있어서, 에칭 정지층이 상기 유전체 층들 각각의 사이에, 및 상기 기판에 가장 가까운 유전체 층 바로 아래에 배치되는, 하나 이상의 에칭 정지층을 더 포함하는, 반도체 구조물.
  7. 제1항에 있어서, 상기 MIM 커패시터는 상기 유전체 층들 중 상기 적어도 하나에 배치된 트렌치에 배치되고, 상기 MIM 커패시터는,
    상기 트렌치의 하부 및 측벽들을 따라 배치된 컵-형상의 금속판;
    상기 컵-형상의 금속판 상에 컨포멀 배치되는(disposed on and conformal with) 제2 유전체 층; 및
    상기 제2 유전체 층 상에 배치되는 트렌치-충전 금속판(trench-fill metal plate)
    을 포함하고, 상기 제2 유전체 층은 상기 트렌치-충전 금속판을 상기 컵-형상의 금속판으로부터 격리시키는, 반도체 구조물.
  8. 제7항에 있어서, 상기 트렌치의 측벽들은 수직 또는 준-수직(near-vertical) 프로파일을 포함하는, 반도체 구조물.
  9. 제7항에 있어서, 상기 트렌치의 측벽들은, 상기 유전체 층들 중 상기 적어도 하나의 하부로부터 상기 유전체 층들 중 상기 적어도 하나의 상부까지 바깥쪽으로 점점 가늘어지는(taper), 반도체 구조물.
  10. 제7항에 있어서, 상기 유전체 층들 중 상기 적어도 하나는 로우-K 유전체 층이고, 상기 제2 유전체 층은 하이-K 유전체 층인, 반도체 구조물.
  11. 반도체 구조물로서,
    기판에 또는 그 위에 배치된 복수의 반도체 디바이스;
    상기 복수의 반도체 디바이스 위에 배치되고, 그 내부에 상기 복수의 반도체 디바이스에 전기적으로 결합된 컨택트들(contacts)을 배치한 제1 유전체 층;
    상기 제1 유전체 층 위에 배치되고 그 내부에 제1 금속 배선 및 상기 제1 금속 배선을 상기 컨택트들에 결합하는 하나 이상의 비아를 배치한 제2 유전체 층;
    상기 제2 유전체 층 위에 배치되고 그 내부에 제2 금속 배선 및 상기 제2 금속 배선을 상기 제1 금속 배선에 결합하는 하나 이상의 비아를 배치한 제3 유전체 층;
    상기 제3 유전체 층 위에 배치되고 그 내부에 제3 금속 배선 및 상기 제3 금속 배선을 상기 제2 금속 배선에 결합하는 하나 이상의 비아를 배치한 제4 유전체 층;
    상기 제4 유전체 층 위에 배치되고 그 내부에 제4 금속 배선 및 상기 제4 금속 배선을 상기 제3 금속 배선에 결합하는 하나 이상의 비아를 배치하고, 또한 그 내부에 금속-절연체-금속(MIM) 커패시터의 적어도 일부를 갖는, 제5 유전체 층 ―상기 MIM 커패시터는 상기 제4 금속 배선에 인접하고, 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합됨― ; 및
    상기 제5 유전체 층 위에 배치되고 그 내부에 제5 금속 배선 및 상기 제5 금속 배선을 상기 제4 금속 배선에 결합하는 하나 이상의 비아를 배치한 제6 유전체 층
    을 포함하는 반도체 구조물.
  12. 제11항에 있어서, 상기 제4 금속 배선의 적어도 일부는 로직 회로에 포함된 하나 이상의 반도체 디바이스에 전기적으로 결합되고, 상기 MIM 커패시터는 임베디드 동적 랜덤 액세스 메모리(eDRAM) 커패시터인, 반도체 구조물.
  13. 제11항에 있어서, 상기 MIM 커패시터는 상기 제5 유전체 층에 배치되지만, 상기 제4 또는 제6 유전체 층에는 배치되지 않는, 반도체 구조물.
  14. 제11항에 있어서, 상기 MIM 커패시터의 또 다른 부분은 상기 제4 유전체 층에, 상기 제3 금속 배선에 인접하게 배치되지만, 상기 MIM 커패시터의 어떤 부분도 상기 제3 또는 제6 유전체 층에 배치되지 않는, 반도체 구조물.
  15. 제11항에 있어서, 상기 MIM 커패시터의 또 다른 부분은 상기 제4 및 제6 유전체 층들에, 상기 제3 및 제5 금속 배선에 인접하게 배치되지만, 상기 MIM 커패시터의 어떤 부분도 상기 제3 유전체 층에 배치되지 않는, 반도체 구조물.
  16. 제11항에 있어서, 에칭 정지층이, 상기 제1, 제2, 제3, 제4, 제5 및 제6 유전체 층들 각각의 사이에 배치되는, 복수의 에칭 정지층을 더 포함하는, 반도체 구조물.
  17. 제11항에 있어서, 상기 MIM 커패시터는 적어도 상기 제5 유전체 층에 배치된 트렌치에 배치되고, 상기 MIM 커패시터는,
    상기 트렌치의 하부 및 측벽들을 따라 배치된 컵-형상의 금속판;
    상기 컵-형상의 금속판 상에 컨포멀 배치되는 제7 유전체 층; 및
    상기 제7 유전체 층 상에 배치되는 트렌치-충전 금속판
    을 포함하고, 상기 제7 유전체 층은 상기 트렌치-충전 금속판을 상기 컵-형상의 금속판으로부터 격리시키는, 반도체 구조물.
  18. 제17항에 있어서, 상기 트렌치의 측벽들은 수직 또는 준-수직 프로파일을 포함하는, 반도체 구조물.
  19. 제17항에 있어서, 상기 트렌치의 측벽들은 상기 제5 유전체 층의 하부로부터 상부까지 바깥쪽으로 점점 가늘어지는, 반도체 구조물.
  20. 제17항에 있어서, 상기 제2, 제3, 제4, 제5, 및 제6 유전체 층들은 로우-K 유전체 층들이고, 상기 제7 유전체 층은 하이-K 유전체 층인, 반도체 구조물.
  21. 반도체 구조물 제조 방법으로서,
    기판에 또는 그 위에 복수의 반도체 디바이스를 형성하는 단계;
    상기 복수의 반도체 디바이스 위에 하나 이상의 유전체 층을 형성하는 단계;
    상기 유전체 층들 각각에 금속 배선을 형성하는 단계 ―상기 형성은 상기 금속 배선을 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 것을 포함함― ; 및
    상기 유전체 층들 중 적어도 하나의 금속 배선에 인접하게 상기 유전체 층들 중 상기 적어도 하나에 금속-절연체-금속(MIM; metal-insulator-metal) 커패시터를 형성하는 단계 ―상기 형성은 상기 MIM 커패시터를 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 것을 포함함―
    를 포함하는, 반도체 구조물 제조 방법.
  22. 제21항에 있어서, 상기 금속 배선을 상기 반도체 디바이스들 중 하나 이상에 전기적으로 결합하는 것은, 로직 회로에 포함된 하나 이상의 반도체 디바이스에 결합하는 것을 포함하고, 상기 MIM 커패시터를 형성하는 단계는 임베디드 동적 랜덤 액세스 메모리(eDRAM) 커패시터를 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
  23. 제21항에 있어서, 상기 MIM 커패시터를 형성하는 단계는 상기 유전체 층들 중 하나에만 상기 MIM 커패시터를 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
  24. 제21항에 있어서, 상기 MIM 커패시터를 형성하는 단계는, 상기 유전체 층들 중 2개의 유전체 층에만 상기 MIM 커패시터를 형성하고, 상기 2개의 유전체 층 각각의 금속 배선에 인접하게, 또한 상기 2개의 유전체 층 각각의 금속 배선을 결합하는 비아에 인접하게 형성하는 단계를 포함하고, 상기 방법은,
    상기 2개의 유전체 층들 중 첫 번째를 형성한 이후에, 그리고, 상기 2개의 유전체 층들 중 두 번째와 상기 MIM 커패시터를 형성하기 이전에, 상기 2개의 유전체 층들 중 첫 번째 상에 에칭 정지층을 형성하는 단계; 및
    상기 에칭 정지층을 패터닝하여 후속하여 상기 MIM 커패시터를 형성하기 위한 영역을 개방하는 단계
    를 더 포함하고, 상기 2개의 유전체 층들 중 두번째는 상기 패터닝된 에칭 정지층 상에 및 상기 영역에 형성되는, 반도체 구조물 제조 방법.
  25. 제21항에 있어서, 상기 MIM 커패시터를 형성하는 단계는, 상기 유전체 층들 중 2개보다 많은 유전체 층에 상기 MIM 커패시터를 형성하고, 상기 2개보다 많은 유전체 층 모두의 금속 배선에 인접하게 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
  26. 제21항에 있어서, 상기 유전체 층들 각각의 사이에, 및 상기 기판에 가장 가까운 유전체 층 바로 아래에 에칭 정지층을 형성하는 단계를 포함한, 하나 이상의 에칭 정지층을 형성하는 단계를 더 포함하는, 반도체 구조물 제조 방법.
  27. 제21항에 있어서, 상기 MIM 커패시터를 형성하는 단계는,
    상기 유전체 층들 중 상기 적어도 하나에 트렌치를 형성하는 단계;
    상기 트렌치의 하부 및 측벽들을 따라 컵-형상의 금속판을 형성하는 단계;
    상기 컵-형상의 금속판 상에 제2 유전체 층을 컨포멀 형성하는 단계; 및
    상기 제2 유전체 층 상에 트렌치-충전 금속판을 형성하는 단계
    를 포함하고, 상기 제2 유전체 층은 상기 트렌치-충전 금속판을 상기 컵-형상의 금속판으로부터 격리시키는, 반도체 구조물 제조 방법.
  28. 제27항에 있어서, 상기 트렌치를 형성하는 단계는 수직 또는 준-수직 프로파일을 갖도록 상기 트렌치의 측벽들을 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
  29. 제27항에 있어서, 상기 트렌치를 형성하는 단계는, 상기 유전체 층들 중 상기 적어도 하나의 하부로부터 상기 유전체 층들 중 상기 적어도 하나의 상부까지 바깥쪽으로 점점 가늘어지도록 상기 트렌치의 측벽들을 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
  30. 제27항에 있어서, 상기 하나 이상의 유전체층을 형성하는 단계는 하나 이상의 로우-K 유전체 층을 형성하는 단계를 포함하고, 상기 제2 유전체 층을 형성하는 단계는 하이-K 유전체 층을 형성하는 단계를 포함하는, 반도체 구조물 제조 방법.
KR1020137025332A 2011-03-04 2011-12-06 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물 KR20130132621A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/041,170 2011-03-04
US13/041,170 US20120223413A1 (en) 2011-03-04 2011-03-04 Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
PCT/US2011/063413 WO2012121766A1 (en) 2011-03-04 2011-12-06 Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020157017054A Division KR20150080034A (ko) 2011-03-04 2011-12-06 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물

Publications (1)

Publication Number Publication Date
KR20130132621A true KR20130132621A (ko) 2013-12-04

Family

ID=46752810

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137025332A KR20130132621A (ko) 2011-03-04 2011-12-06 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물
KR1020157017054A KR20150080034A (ko) 2011-03-04 2011-12-06 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157017054A KR20150080034A (ko) 2011-03-04 2011-12-06 동일한 유전체층에 통합된 커패시터와 금속 배선을 갖는 반도체 구조물

Country Status (7)

Country Link
US (2) US20120223413A1 (ko)
EP (1) EP2681767A4 (ko)
JP (2) JP5770864B2 (ko)
KR (2) KR20130132621A (ko)
CN (2) CN103503139A (ko)
TW (1) TW201240104A (ko)
WO (1) WO2012121766A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200050335A (ko) * 2018-10-30 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 고밀도 mim 커패시터 구조물

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140061855A1 (en) * 2012-09-06 2014-03-06 United Microelectronics Corporation Capacitor structure and fabricating method thereof
US9825040B2 (en) 2013-12-31 2017-11-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with capacitor and method of fabricating the same
US10319908B2 (en) 2014-05-01 2019-06-11 Crossbar, Inc. Integrative resistive memory in backend metal layers
JP6359332B2 (ja) * 2014-05-09 2018-07-18 ルネサスエレクトロニクス株式会社 半導体装置
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US10050102B2 (en) * 2016-01-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6556294B2 (ja) * 2018-05-17 2019-08-07 ルネサスエレクトロニクス株式会社 半導体装置
TWI713980B (zh) * 2019-01-21 2020-12-21 力晶積成電子製造股份有限公司 記憶體結構及其製造方法
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP7341811B2 (ja) * 2019-09-20 2023-09-11 株式会社東芝 半導体装置及び半導体装置の製造方法
US11282742B2 (en) * 2019-10-17 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer etch stop structure and method for forming the same
TWI800698B (zh) * 2019-12-02 2023-05-01 聯華電子股份有限公司 半導體元件結構及其製造方法
US20210242127A1 (en) * 2020-01-31 2021-08-05 Qualcomm Incorporated Back-end-of-line (beol) sidewall metal-insulator-metal (mim) capacitor
US11688680B2 (en) 2020-11-05 2023-06-27 International Business Machines Corporation MIM capacitor structures

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3599548B2 (ja) * 1997-12-18 2004-12-08 株式会社日立製作所 半導体集積回路装置の製造方法
US6159787A (en) * 1998-05-28 2000-12-12 International Business Machines Corporation Structures and processes for reduced topography trench capacitors
US6143601A (en) * 1998-12-09 2000-11-07 United Microelectronics Corp. Method of fabricating DRAM
US6346454B1 (en) * 1999-01-12 2002-02-12 Agere Systems Guardian Corp. Method of making dual damascene interconnect structure and metal electrode capacitor
US6762087B1 (en) * 2000-06-16 2004-07-13 Agere Systems Inc. Process for manufacturing an integrated circuit including a dual-damascene structure and a capacitor
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6794694B2 (en) * 2000-12-21 2004-09-21 Agere Systems Inc. Inter-wiring-layer capacitors
JP2002270769A (ja) * 2001-03-08 2002-09-20 Toshiba Corp 半導体装置及びその製造方法
US6710425B2 (en) * 2001-04-26 2004-03-23 Zeevo, Inc. Structure to increase density of MIM capacitors between adjacent metal layers in an integrated circuit
KR100422597B1 (ko) * 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
KR100428789B1 (ko) 2001-12-05 2004-04-28 삼성전자주식회사 금속/절연막/금속 캐퍼시터 구조를 가지는 반도체 장치 및그 형성 방법
US6593185B1 (en) * 2002-05-17 2003-07-15 United Microelectronics Corp. Method of forming embedded capacitor structure applied to logic integrated circuit
JP2004023033A (ja) 2002-06-20 2004-01-22 Renesas Technology Corp 半導体装置
US6624040B1 (en) * 2002-09-20 2003-09-23 Chartered Semiconductor Manufacturing Ltd. Self-integrated vertical MIM capacitor in the dual damascene process
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
JP2004342787A (ja) * 2003-05-15 2004-12-02 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US20040251549A1 (en) * 2003-06-11 2004-12-16 Tai-Chun Huang Hybrid copper/low k dielectric interconnect integration method and device
KR100725690B1 (ko) * 2003-07-08 2007-06-07 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
JP2005064466A (ja) 2003-07-08 2005-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4342854B2 (ja) * 2003-07-09 2009-10-14 株式会社東芝 半導体装置及びその製造方法
KR100532455B1 (ko) 2003-07-29 2005-11-30 삼성전자주식회사 Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법
US7282757B2 (en) 2003-10-20 2007-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor structure and method of manufacture
US20050258512A1 (en) * 2004-05-21 2005-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Topographically elevated microelectronic capacitor structure
JP2006019379A (ja) * 2004-06-30 2006-01-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP3892867B2 (ja) 2004-11-19 2007-03-14 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
KR100632938B1 (ko) 2004-12-22 2006-10-12 삼성전자주식회사 커패시터를 구비하는 디램 소자 및 그 형성 방법
JP4777127B2 (ja) * 2006-04-24 2011-09-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7633112B2 (en) * 2006-08-24 2009-12-15 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and method of manufacturing the same
KR100853092B1 (ko) * 2006-08-29 2008-08-19 동부일렉트로닉스 주식회사 반도체 소자의 캐패시터 제조 방법
JP2009141237A (ja) * 2007-12-10 2009-06-25 Panasonic Corp 半導体装置及びその製造方法
US7927959B2 (en) 2008-09-30 2011-04-19 Intel Corporation Method of patterning a metal on a vertical sidewall of an excavated feature, method of forming an embedded MIM capacitor using same, and embedded memory device produced thereby
JP5464928B2 (ja) 2009-07-02 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200050335A (ko) * 2018-10-30 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 고밀도 mim 커패시터 구조물

Also Published As

Publication number Publication date
JP2014510400A (ja) 2014-04-24
WO2012121766A1 (en) 2012-09-13
JP6182792B2 (ja) 2017-08-23
JP2015188112A (ja) 2015-10-29
US9577030B2 (en) 2017-02-21
US20120223413A1 (en) 2012-09-06
CN106887428A (zh) 2017-06-23
TW201240104A (en) 2012-10-01
CN103503139A (zh) 2014-01-08
EP2681767A4 (en) 2014-08-20
US20150255533A1 (en) 2015-09-10
EP2681767A1 (en) 2014-01-08
KR20150080034A (ko) 2015-07-08
JP5770864B2 (ja) 2015-08-26

Similar Documents

Publication Publication Date Title
US9577030B2 (en) Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US7919803B2 (en) Semiconductor memory device having a capacitor structure with a desired capacitance and manufacturing method therefor
US7776715B2 (en) Reverse construction memory cell
US7910451B2 (en) Simultaneous buried strap and buried contact via formation for SOI deep trench capacitor
US8519510B2 (en) Semiconductor structure having an integrated quadruple-wall capacitor for embedded dynamic random access memory (eDRAM) and method to form the same
KR101496608B1 (ko) 임베디드 동적 랜덤 액세스 메모리(edram)를 위한 통합된 2중-벽 커패시터를 갖는 반도체 구조물 및 이를 형성하는 방법
CN107204323B (zh) 半导体结构及其制造方法
CN108028245A (zh) 半导体器件及形成其的方法
US7560795B2 (en) Semiconductor device with a capacitor
WO2013089711A1 (en) Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
US8581315B2 (en) Semiconductor device having cylindrical lower electrode of capacitor and manufacturing method thereof
CN114256240A (zh) 电容器及其制备方法
KR101539554B1 (ko) Dram용 요홈 플레이트부를 갖는 커패시터 및 그 제조 방법
TWI793789B (zh) 具有複合介電結構的半導體元件及其製備方法
CN117177553A (zh) 半导体结构及其制备方法
CN114678345A (zh) 半导体结构及其制备方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
A107 Divisional application of patent
E601 Decision to refuse application