KR20130014030A - 치환 소스/드레인 finfet 제조 - Google Patents

치환 소스/드레인 finfet 제조 Download PDF

Info

Publication number
KR20130014030A
KR20130014030A KR1020120081581A KR20120081581A KR20130014030A KR 20130014030 A KR20130014030 A KR 20130014030A KR 1020120081581 A KR1020120081581 A KR 1020120081581A KR 20120081581 A KR20120081581 A KR 20120081581A KR 20130014030 A KR20130014030 A KR 20130014030A
Authority
KR
South Korea
Prior art keywords
region
source
drain
channel region
epitaxy
Prior art date
Application number
KR1020120081581A
Other languages
English (en)
Inventor
다니엘 탕
레오나르도 옌
Original Assignee
어드밴스드 이온 빔 테크놀로지 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 이온 빔 테크놀로지 인크. filed Critical 어드밴스드 이온 빔 테크놀로지 인크.
Publication of KR20130014030A publication Critical patent/KR20130014030A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

소스 영역, 드레인 영역, 및 소스 영역과 드레인 영역 사이의 채널 영역을 구비하는 핀을 갖는 finFET이 형성된다. 핀은 반도체 웨이퍼 상에 에칭된다. 채널 영역과 직접 접촉하는 절연층, 및 절연층과 직접 접촉하는 도전성 게이트 재료를 갖는 게이트 스택이 형성된다. 소스 영역 및 드레인 영역이 에칭되어 핀의 채널 영역을 남긴다. 에피택셜 반도체가 소스 및 드레인 영역에 인접했던 채널 영역의 면들 상에서 성장되어, 소스 에피택시 영역 및 드레인 에피택시 영역을 형성한다. 소스 및 드레인 에피택시 영역은 에피택셜 반도체를 성장시키는 동안 인-사이추 도핑된다.

Description

치환 소스/드레인 FINFET 제조{REPLACEMENT SOURCE/DRAIN FINFET FABRICATION}
본 명세서는 일반적으로 핀 전계 효과 트랜지스터(fin field effect transistor, finFET)를 제조하는 것에 관한 것으로, 보다 상세하게는 치환 소스 및 드레인을 갖는 finFET을 제조하는 것에 관한 것이다.
finFET은 전통적인 금속 산화물 반도체 전계 효과 트랜지스터들(MOSFET)과 동일한 원리들로 동작하는 비-평면 멀티-게이트 트랜지스터이다. 먼저, 실리콘 아일랜드 또는 핀(fin)이 웨이퍼 상에 패터닝된다. 핀을 형성한 후, 패터닝된 게이트 재료가 핀에 수직하게 이어지도록 게이트 스택이 퇴적되고 패터닝된다. 패터닝된 게이트 재료가 핀과 오버레이(overlay)하는 곳에서 finFET을 위한 게이트가 형성된다. finFET은 핀의 양쪽 수직 측벽 모두에 하나의 게이트를 가질 것이다. 핀의 상단 표면의 크기에 따라, finFET은 핀의 상단에 게이트를 가질 수도 있다.
예를 들어, 도 1은 SOI(silicon-on-insulator) 웨이퍼 상에 형성된 finFET(100)을 도시한다. 핀 구조물(102)은 산화물 층(108)으로부터 돌출되고 STI(shallow trench isolation)(106) 위로 솟아 있다. 게이트 스택(104)은 세 개의 면에서 핀 구조물(102)과 접촉하여 다중 게이트를 형성한다. 채널 영역은 핀 구조물(102) 내의 게이트 스택(104) 아래의 영역에 의해 정의된다. 소스 및 드레인 영역은 핀 구조물(102) 내의 양쪽 끝에서 채널 영역에 인접한다.
도 2는 SOI 웨이퍼의 산화물 층(208) 위에 형성된 전통적 MOSFET(200)을 도시한다. finFET(100)(도 1)과 대조적으로, 실리콘 영역(202)이 STI(206)와 평면을 이루는데, 이는 게이트 스택(204)이 실리콘 영역(202)의 오직 한 면에만 접촉하고 오직 하나의 게이트만을 형성하게 한다.
finFET의 다중 게이트는 전통적인 MOSFET들에 비해 많은 개선을 제공할 수 있다. 예를 들어, finFET은 숏 채널 효과(short channel effect)에 더 잘 견딜 수 있으며, 임계전압 이하 스윙(subthreshold swing)을 개선하는 동시에 더 높은 전류 드라이브를 제공할 수 있다.
그러나, finFET의 비-평면 특성은 제조 중에 몇 가지 어려움들을 야기할 수 있다. 예를 들어, 종래의 주입기들은 이온을 표면에 주입하기 위해 가시선(line of sight)을 필요로 한다. finFET의 소스 또는 드레인의 수직 측벽들을 도핑하기 위해, 웨이퍼는 경사각 주입(tilt-angle implant)되어야 한다. 인접한 finFET들로부터의 섀도잉 효과(shadowing effect)를 극복하기 위해, 주입은 복수의 각도들 또는 방향들에서 수행되어야 할 수 있는데, 이는 주입기 도구의 복잡성, 주입 비용 및 처리 시간을 증가시킬 수 있다. 대안적으로, 섀도잉 효과들을 최소화하기 위해 비-평면 구조들에 충분히 간격을 두는 설계 규칙들이 구현될 수 있다. 그러나, 구조 간격을 증가시키는 설계 규칙들의 이용은 밀도가 더 낮은 회로들을 야기할 것이다.
경사각 주입에 대한 한 대안은 플라즈마 도핑이다. 그러나, 플라즈마 도핑은 도핑 농도에 대한 한계 및 도핑 제어 등과 같은 그 특유의 문제점들을 가질 수 있다.
예시적인 실시예에서, 소스 영역, 드레인 영역, 및 소스 영역과 드레인 영역 사이의 채널 영역을 구비하는 핀을 갖는 finFET이 형성된다. 핀은 반도체 웨이퍼 상에 에칭된다. 채널 영역과 직접 접촉하는 절연층, 및 절연층과 직접 접촉하는 도전성 게이트 재료를 갖는 게이트 스택이 형성된다. 소스 및 드레인 영역이 에칭되어 핀의 채널 영역을 남긴다. 소스 및 드레인 영역에 인접했던 채널 영역의 면들 상에 에피택셜 반도체가 성장되어 소스 에피택시 영역 및 드레인 에피택시 영역을 형성한다. 소스 및 드레인 에피택시 영역은 에피택셜 반도체를 성장시키는 동안 인-사이추(in-situ) 도핑된다. 소스 및 드레인 에피택시 영역은 채널 영역에 변형(strain)을 가해 채널 영역 내의 전자들 또는 홀들의 이동도를 개선할 수 있다.
본 출원은 첨부 도면과 함께 제공되는 이하의 상세한 설명을 참조하여 가장 잘 이해될 수 있으며, 도면에서 유사한 부분들은 유사한 참조번호로 표기될 수 있다.
도 1은 finFET을 도시한다.
도 2는 SOI MOSFET을 도시한다.
도 3은 finFET을 형성하기 위한 예시적인 공정의 흐름도를 도시한다.
도 4의 (a)는 도 3에 도시된 예시적인 공정에 따른 제조의 최초 단계에서의 finFET의 예시적인 실시예의 사시도를 도시한다.
도 4의 (b)는 도 4의 (a)의 점선으로 된 절단면(402)을 따른 예시적인 실시예의 단면도를 도시한다.
도 4의 (c)는 도 4의 (a)의 점선으로 된 절단면(404)을 따른 예시적인 실시예의 다른 단면도를 도시한다.
도 5의 (a) 내지 (c), 도 6의 (a) 내지 (c), 도 7의 (a) 내지 (c), 도 8의 (a) 내지 (c), 도 9의 (a) 내지 (c), 및 도 10의 (a) 내지 (c)는 도 3에 도시된 예시적인 공정에 따른 다양한 제조 스테이지들에서의 예시적인 실시예를 도시한다.
도 11의 (a) 내지 (c)는 SOI 웨이퍼 상의 finFET의 예시적인 실시예를 도시한다.
도 12의 (a) 내지 (c)는 비대칭 소스 및 드레인 영역을 갖는 finFET의 예시적인 실시예를 도시한다.
도 13의 (a) 내지 (c)는 finFET을 제조하기 위한 다른 예시적인 공정의 스테이지를 도시한다.
도 14의 (a) 내지 (c)는 finFET을 제조하기 위한 다른 예시적인 공정의 스테이지를 도시한다.
도 15의 (a) 내지 (c)는 finFET을 제조하기 위한 다른 예시적인 공정의 스테이지를 도시한다.
도면들은 오직 예시를 목적으로 본 발명의 다양한 실시예를 도시한다. 본 기술 분야의 숙련된 자들은 이하의 논의로부터, 본원에 설명된 본 발명의 원리로부터 벗어나지 않으면서 본원에 예시된 구조들 및 방법들의 대안적인 실시예들이 실시될 수 있다는 점을 쉽게 알아차릴 것이다.
이하의 설명은 본 기술 분야의 통상의 지식을 가진 자가 다양한 실시예들을 만들고 사용하는 것을 가능하게 하기 위해 제공된다. 특정 장치들, 기법들 및 응용들의 설명들은 단지 예시로서만 제공된다. 여기에 설명되는 예시들에 대한 다양한 수정들이 본 기술 분야의 통상의 지식을 가진 자들에게 쉽게 분명해질 것이며, 여기에 정의된 일반적 원리들은 다양한 실시예들의 취지 및 범위로부터 벗어나지 않으면서 다른 예시들 및 응용들에 적용될 수 있다. 따라서, 다양한 실시예들은 여기에 설명되고 도시된 예시들에 한정되는 것이 아니라, 청구항들과 일치하는 범위에 부합하도록 의도된다.
도 3은 finFET을 제조하기 위한 예시적인 공정(300)을 도시한다. 이에 대응하는 도 4의 (a) 내지 (c), 도 5의 (a) 내지 (c), 도 6의 (a) 내지 (c) 도 7의 (a) 내지 (c), 도 8의 (a) 내지 (c), 도 9의 (a) 내지 (c), 및 도 10의 (a) 내지 (c)는 예시적인 공정(300)(도 3)에 따른 다양한 제조 스테이지들에서의 finFET을 도시한다.
특히, 도 4의 (a) 내지 (c)는 반도체 웨이퍼의 일부인 기판(400)을 도시한다. 본 예시적인 실시예에서, 기판(400)은 벌크 실리콘 웨이퍼의 일부이다. 그러나, SOI 웨이퍼와 같은 다른 유형의 웨이퍼들 또는 기판들도 이용될 수 있다. 또한, Ge, SiGe, SiC, GeP, GeN, InGaAs, GaAs, InSb, InAs, GaSb 및 InP을 포함하지만 그에 한정되지는 않는 실리콘 이외의 반도체 재료들이 이용될 수 있다.
도 3을 참조하면, 동작(302)에서, 핀 구조물이 기판 상에 에칭된다. 도 5의 (a) 내지 (c)는 웨이퍼의 실리콘에 직접 접속된 핀 구조물(500)의 형성 후의 기판(400)을 도시한다. 이러한 동작은 핀 구조물(500)을 형성하기 위해 표준 반도체 마스킹 및 에칭 기법들을 이용할 수 있다.
필수적인 것은 아니지만, 핀 구조물(500)의 형성 후에 STI 영역 또한 형성될 수 있다. 도 5의 (a) 내지 (c)는 STI(502)의 형성 후의 기판(400)을 도시한다. 핀 구조물(500)을 형성한 후, STI 절연 재료가 기판 위에 퇴적될 수 있다. STI(502)의 최상단(504)이 핀 구조물(500)의 최상단(506)과 거의 평면을 이룰 때까지 STI 절연 재료를 제거하기 위해 화학적 기계적 연마(CMP) 또는 에치백(etch-back)과 같은 평탄화 기법들이 사용될 수 있다. 도 5의 (a) 내지 (c)는 STI(502)가 핀 구조물(500)과 완벽하게 평면을 이루는 것을 도시하지만, 실제로는 그러한 영역들 사이에 작은 단차가 있을 수 있다. 작은 단차에도 불구하고, 영역들은 여전히 거의 평면을 이루는 것으로 간주된다. 다른 예시적인 공정들에서, STI 형성은 공정 내에서 나중에 발생할 수 있다. 예를 들어, 이하에서 도 14의 (a) 내지 (c) 및 도 15의 (a) 내지 (c)에 관하여 논의되는 바와 같이, STI 형성은 소스/드레인 영역이 에칭되고 재충진(refill)된 후에 발생할 수 있다.
STI 영역이 형성된 경우, 핀 구조물의 일부를 노출하기 위해 에치백이 또한 사용될 수 있다. 도 6의 (a) 내지 (c)는 핀 구조물(500)의 상단 부분(600)을 노출시키기 위한 STI(502)의 에치백 후의 기판(400)을 도시한다. 핀 구조물(500)의 하단 부분(602)은 STI(502)에 의해 덮인 채로 남는다. 일례에서, STI 절연 재료는 실리콘 이산화물로 만들어진다. 이러한 예에서, 상당한 양의 핀 구조물(500)을 에칭하지 않고 STI(502)를 에칭하기 위해, 웨이퍼의 실리콘 위의 산화물에 대해 선택적인 에칭이 사용될 수 있다. 대안적으로, 에치백 동안 핀 구조물(500)을 보호하기 위해 포토레지스트 마스크 또는 하드마스크가 사용될 수 있다. STI 영역을 형성하는 다른 예시적인 공정들에서, 예를 들어 이하에서 도 12의 (a) 내지 (c)를 참조하여 논의되는 바와 같이, 에치백은 대안적으로 소스/드레인 영역이 에칭되고 재충진된 후의 공정에서 나중에 수행될 수 있다.
도 3을 다시 참조하면, 동작(304)에서, 게이트 스택을 퇴적하고 패터닝함으로써 finFET의 게이트가 형성된다. 도 7의 (a) 내지 (c)는 게이트 스택이 퇴적되고 패터닝되어 게이트를 형성한 이후의 기판(400)을 도시한다. 게이트 스택의 퇴적은 기판(400) 위에 게이트 유전체(700)를 성장시키거나 퇴적하는 것으로 시작한다. 도 7의 (a) 내지 (c)는 게이트 유전체(700)가 핀 구조물(500) 및 STI(502) 위에 존재하는 것을 도시한다. 따라서, 도 7의 (a) 내지 (c)의 경우, 게이트 유전체(700)는 퇴적된 재료, 또는 실리콘뿐 아니라 STI(502)의 절연 재료 상에 성장될 수 있는 재료이다. 게이트 유전체(700)가 열 산화물(thermal oxide)인 경우, STI(502) 위의 게이트 유전체(700)의 부분은 존재하지 않을 것이다.
다음으로, 도전성 게이트 재료(702)가 퇴적된다. 일례에서, 도전성 게이트 재료(702)는 저항을 감소시키고 게이트 일 함수를 설정하기 위해 주입될 수 있는 다결정 또는 비정질 실리콘이다. 금속들과 같은 다른 도전성 게이트 재료도 사용될 수 있다.
다른 예시적인 실시예들에서, 게이트 스택은 또한 하이-k 금속 게이트(high-k metal gate, HKMG) 스택일 수 있다. 예를 들어, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2) 또는 티타늄 이산화물(TiO2)과 같은 하이-k 게이트 유전체와 함께, 티타늄 질화물과 같은 금속 게이트가 사용될 수 있다. HKMG 스택은 유전체-우선(dielectric-first), 게이트-우선(gate-first), 유전체-최후(dielectric-last), 또는 게이트-최후(gate-last) 공정으로 형성될 수 있다.
예를 들어, 유전체-최후 HKMG 공정에서, 게이트 스택은 라인 공정의 전단(front-end) 동안 희생 스택(sacrificial stack)으로서 사용되는 전통적인 실리콘 이산화물 및 다결정 실리콘 스택으로서 처음에 형성될 수 있다. 그런 다음, 라인 공정의 후단으로 진행하기 전에, 이러한 희생 스택의 일부가 제거되고, 하이-k 유전체 및 금속 게이트를 포함하는 게이트 스택으로 치환될 수 있다. 이것은 또한 게이트-최후 HKMG 공정의 예이기도 하다.
게이트-최후 HKMG 공정의 다른 예시에서, 게이트 유전체는 (전통적 유전체들을 갖거나 갖지 않는) 하이-k 재료, 및 게이트 재료를 위한 다결정 실리콘을 사용하여 형성될 수 있다. 그런 다음, 라인 공정의 후단으로 진행하기 전에, 다결정 실리콘 게이트가 제거되고 금속 게이트로 치환될 것이다. 라인 공정의 전단에서 이전에 퇴적된 게이트 유전체는 남을 것이다. 이것은 또한 유전체-우선 HKMG 공정의 예이기도 하다.
게이트-우선, 유전체-우선 HKMG 공정에서, 하이-k 게이트 유전체 및 금속 게이트 둘다는 라인 공정의 전단 동안 형성된다.
게이트 스택이 퇴적된 후, 하드마스크 층(704)이 퇴적되고 패터닝된다. 도전성 게이트 재료(702), 및 선택적으로는 게이트 유전체(700)를 에칭하기 위해, 패터닝된 하드마스크가 사용될 수 있다. 남은 게이트 스택 재료는 게이트(706)를 형성한다. 채널(708)은 게이트(706)에 의해 거의 덮인 핀 구조물(500) 내의 영역이다. 소스 및 드레인(710)은 채널(708)에 인접한 핀 구조물(500)의 양쪽 끝에 있다. 설명되고 있는 것과 같은 개별 장치에서, 소스 및 드레인은 상호 교환가능하기 때문에 함께 설명된다.
다른 공정들에서, 게이트(706)를 패터닝하기 위해 포토레지스트 마스크가 사용되는 경우, 하드마스크는 생략될 수 있다. 또한, 게이트 유전체가 웨이퍼 전체에 걸쳐 에칭되지 않은 채로 남을 수 있다. 그것은 예를 들어 도 8의 (a) 내지 (c)에 관하여 설명되는 바와 같은 스페이서 에칭 단계에서 제거될 수 있다.
도 3을 참조하면, 동작(306)에서, 핀 구조물의 게이트 아래의 채널 영역을 남기면서 소스/드레인 영역들의 일부 또는 전부가 에칭된다. 이러한 동작의 일례에서, 게이트 하드마스크는 스페이서와 함께 소스/드레인 에칭을 위한 마스크로서 사용될 수 있다. 도 8의 (a) 내지 (c)는 스페이서(800)의 형성, 및 그러한 영역들 내의 핀 구조물(500)을 제거하기 위한 소스 및 드레인(710)(도 7의 (a))의 에칭 후의 기판(400)을 도시한다. 스페이서(800)의 형성은 기판(400) 위에 절연 스페이서 재료를 퇴적하는 것으로 시작될 수 있다. 이후, 블랭킷 스페이서 에칭이 수행될 수 있다. 게이트(706)의 측벽들 상의 스페이서 절연 재료는 에칭으로부터 보호될 것이다. 예를 들어 설정 시간 또는 종료점(end-point)에 의해 에칭이 완료된 후, 스페이서들(800)이 남을 것이다. 추가로, 게이트를 패터닝한 후에 웨이퍼 상에 남겨진 하드마스크 층(704)은 스페이서 절연 재료가 에칭되어 없어진 후에도 남을 수 있다. 그러면, 하드마스크 층(704) 및 스페이서(800)는 소스 및 드레인(710)(도 7의 (a))을 에칭하기 위한 마스크로서 사용될 수 있다. 소스 및 드레인 에칭 후, 소스 및 드레인(710)(도 7의 (a))으로부터 실리콘의 대부분 또는 전부가 제거되어, 핀 구조물(500)의 채널(708)을 남긴다.
동작(306)은 스페이서 관련 동작들을 생략할 수도 있다. 동작(306)의 이 버전은 소스 및 드레인 영역을 에칭하기 위해 하드마스크만을 사용할 수 있다. 동작(306)의 다른 예에서, 포토레지스트 마스크가 하드마스크 대신 사용될 수 있다.
도 3을 참조하면, 동작(308)에서, 동작(306)에서 에칭된 소스 및 드레인의 일부분들이 실리콘으로 재충진된다. 도 10의 (a) 내지 (c)는 에칭된 소스 및 드레인(710)(도 7의 (a))이 실리콘(1000)으로 재충진된 후의 기판(400)을 도시한다. 이것은 예를 들어 다결정 실리콘을 퇴적하는 것 또는 에피택셜 실리콘을 성장시키는 것에 의해 행해질 수 있다. 채널(708)의 측벽들이 소스 및 드레인 에칭 동안 손상된 경우, 예를 들어 측벽들을 열 산화시켜서 손상된 실리콘을 소모시킴으로써 측벽들이 새로운 실리콘을 받아들이도록 선택적으로 준비될 수 있다. 소스 및 드레인(710)(도 7)이 실리콘이었더라도, 영역들을 재충진하기 위해 다른 반도체들이 사용될 수 있다. 이 경우, 채널 영역 및 소스/드레인 영역들은 상이한 재료들로 만들어질 것이다.
도 3을 참조하면, 동작(308)과 동시에 발생하는 동작(310)에서, 소스 및 드레인은 실리콘으로 재충진되는 동안 인-사이추 도핑된다. 도 10의 (a) 내지 (c)를 다시 참조하면, 실리콘(1000)을 퇴적하거나 성장시키는 동안 도핑함으로써 경사각 및 플라즈마 주입을 피할 수 있다. 추가로, 인-사이추 도핑은 실리콘(1000)에 걸쳐 일정한 헤비 도핑(constant heavy doping)을 허용하므로, 주입만 하는 것에 비해 소스 및 드레인 저항이 감소될 수 있다. 임의의 소스 및 드레인 주입을 활성화하는 것과 연관되는 열적 단계들(thermal steps) 또한 피할 수 있다.
블랭킷 실리콘 퇴적 또는 성장이 사용되는 경우, 비-소스 및 비-드레인 영역으로부터 실리콘을 제거하기 위해 추가적 에칭 단계가 필요할 수 있다. 비 도전성이어야 하는 영역들로부터 실리콘을 제거하기 위해(예를 들어, 소스 및 드레인을 단락시킬 수 있는 임의의 실리콘을 제거하기 위해), CMP 또는 (마스킹 단계를 갖거나 갖지 않는) 에치백이 사용될 수 있다. 예를 들어, 도 10의 (a) 내지 (c)에 도시된 바와 같이, 사전에 에칭된 소스 및 드레인(710)(도 7의 (a))을 재충진하기 위해 퇴적된 실리콘(1000)이 에치백되어, 게이트(706)의 상단이 노출되고 소스 및 드레인이 함께 단락되지 않는다.
도 10의 (a) 내지 (c)의 실리콘(1000)이 하나의 특정 음영으로 도시되지만, 실리콘(1000)의 결정 구조는 장치에 걸쳐 변할 수 있다. 예를 들어, 실리콘(1000)이 에피택셜 공정으로 생성되는 경우, 소스 및 드레인 영역 내의 실리콘(1000) 부분은 결정질일 수 있는 한편, STI 위의 실리콘(1000)의 부분은 다결정질일 수 있다. 실리콘(1000)에 대한 단일 음영의 사용은, 실리콘(1000)이 균일한 결정 구조를 가지거나, 반드시 다른 균일한 특성들을 가진다는 것을 나타내도록 의도되는 것은 아니다.
대안적으로, 실리콘을 노출된 실리콘(예를 들어, 동작(306)에서의 소스 및 드레인의 제거 후에 노출된 웨이퍼 및 핀의 실리콘 부분들) 상에서만 성장시키고 다른 재료들(예를 들어, STI 절연체) 상에는 성장시키지 않기 위해 선택적 에피택셜 성장이 사용될 수 있다. 도 9의 (a) 내지 (c)는 에칭된 소스 및 드레인(710)(도 7의 (a))을 재충진하기 위해 실리콘(900)을 선택적으로 성장시킨 후의 기판(400)을 도시한다. 선택적 에피택시를 사용하면, 에치백 단계가 필요하지 않을 수 있다. 도 9의 (a) 내지 (c)는 비-선택적 성장 및 에치백 단계 후의 기판(400)을 도시한 도 10의 (a) 내지 (c)와 대조된다. 도 9의 (a) 내지 (c)의 소스 및 드레인(900)의 형상은 소스 및 드레인 영역을 재성장시키기 위한 선택적 에피택시를 사용하여 생성된 형상의 예시로서만 의도된다. 본 발명의 예시적인 실시예들로부터 벗어나지 않으면서 소스 및 드레인 영역의 다른 형상들도 생성될 수 있다.
열적인 비용(thermal budget)을 제어하기 위해, 먼저, 고온 에피택시 공정이 고품질 실리콘의 초기 두께를 성장시키는 데 사용될 수 있다. 그런 다음, 다결정 실리콘이 더 낮은 온도에서 퇴적되어 소스 및 드레인을 더 많이 재충진할 수 있다. 또한, 웨이퍼를 평탄화하기 위해 CMP, 에치백 또는 둘 다가 사용될 수 있다. 추가로, 위에서 설명된 바와 같이, 비-소스 및 비-드레인 영역으로부터 실리콘을 제거하기 위해 에치백이 필요할 수 있다.
도 9의 (a) 내지 (c) 및 도 10의 (a) 내지 (c)는 에칭된 소스 및 드레인이 실리콘으로 완전히 재충진되는 것을 도시하지만, 다른 경우들에서는 소스 및 드레인의 일부만이 실리콘으로 재충진될 필요가 있다.
저-저항의 소스 및 드레인을 만드는 것 외에, 소스 및 드레인(710)(도 7의 (a))의 치환도 채널(708)(도 10의 (a))에 변형을 더할 수 있다. 예를 들어, (실리콘 기판을 사용하는 경우의) SiGe 또는 SiC는 소스 및 드레인 영역이 에칭되어 없어진 채널(708)(도 10의 (a))의 면들 상에서 선택적 에피택시를 사용하여 성장될 수 있다. Si(또는 채널을 형성하는 다른 반도체 재료)에 비해, SiGe 또는 SiC의 상이한 격자 상수들은 채널(708) 내의 반도체를 변형시킬 수 있으며, 이는 채널(708) 내의 전자들 또는 홀들의 이동도를 증가시킬 수 있다.
제1의 예시적인 공정이 몇 개의 공정 단계들에 관하여 설명되었지만, 본 기술 분야의 숙련된 자들은 작동하는 finFET을 만들기 위해 다른 잘 알려진 공정 단계들이 또한 필요할 것이라는 것을 알 것이다. 예를 들어, n-형 또는 p-형 finFET에 대한 임계 전압을 적절하게 설정하기 위해 임계값 조정 주입들이 필요할 수 있다. 다른 예로서, 실리콘의 퇴적 또는 에피택셜 성장을 통한 소스 및 드레인의 재충진이 두 번 수행되어야 할 수 있는데, 한 번은 p-형 소스들 및 드레인들을 요하는 p-형 finFET을 위한 것이고, 한 번은 n-형 소스들 및 드레인들을 요하는 n-형 finFET을 위한 것이다.
도 11의 (a) 내지 (c)는 기판(1100) 상의 finFET의 다른 예시적인 실시예를 도시한다. 이러한 예시적인 실시예는, 위에서 설명된 바와 같은 벌크 웨이퍼 대신 SOI 웨이퍼가 기판(1100)으로서 사용된다는 점을 제외하고 도 10의 (a) 내지 (c)에 도시된 예시적인 실시예와 유사하다. 또한, 이러한 예시적인 실시예에 대해 사용되는 공정은 STI 영역의 형성을 포함하지 않는다. 도 11의 (a) 내지 (c)의 소스 및 드레인(1102)의 형상은 소스 및 드레인 영역을 재성장시키기 위해 선택적 에피택시를 사용하여 생성되는 형상의 예시로 의도된 것에 지나지 않는다. 본 발명의 실시예들로부터 벗어나지 않으면서 소스 및 드레인 영역의 다른 형상들도 생성될 수 있다.
도 12의 (a) 내지 (c)는 상이한 재료 특성들을 갖는 비대칭 소스(1200) 및 드레인(1202)을 갖는 finFET의 다른 예시적인 실시예를 도시한다. 예를 들어, 소스(1200) 및 드레인(1202)은 상이한 재료들로 만들어질 수 있다. 다른 예로서, 두 영역의 도핑이 상이할 수 있다. 또 다른 예에서, 두 영역의 변형이 상이할 수 있다. 이 예시적인 실시예는 소스(1200) 및 드레인(1202)이 별개로 성장될 것을 요구한다. 도 12의 (a) 내지 (c)의 소스(1200) 및 드레인(1202)의 형상은 소스 및 드레인 영역을 재성장시키기 위해 선택적 애피택시를 사용하여 생성되는 형상의 예시로 의도된 것에 지나지 않는다. 본 발명의 실시예들로부터 벗어나지 않으면서 소스 및 드레인 영역의 다른 형상들도 생성될 수 있다.
도 13의 (a) 내지 (c)는 다른 예시적인 공정의 스테이지를 도시한다. 이러한 예시적인 공정은 위에서 도 5의 (a) 내지 (c)에 관하여 설명된 STI 에치백 단계가 공정 내에서 나중에 수행된다는 점을 제외하고는 예시적인 공정(300)(도 3)과 유사하다. 도 13의 (a) 내지 (c)는 (동작(302)에서 설명된) 핀 구조물(1306)의 형성, (도 5의 (a) 내지 (c)에 관하여 설명된) STI(1304)의 퇴적, 및 (동작들(306, 308 및 310)에서 설명된) 소스 드레인(1302)의 에칭 및 재충진 후의 기판(1300)을 도시한다. 그러나, STI(1304)의 에치백은 아직 발생하지 않았다. (도 5의 (a) 내지 (c)에 관하여 설명된) STI 에치백 단계는 게이트 형성(동작(304)) 전의 소정 시점에서 발생한다. 기판(1300)은 SOI 웨이퍼로 도시되지만, 이러한 공정은 벌크 웨이퍼 상에서도 사용될 수 있다.
도 14의 (a) 내지 (c)는 finFET의 제조를 위한 다른 예시적인 공정의 스테이지를 도시한다. 이러한 예시적인 공정은, (동작들(306, 308 및 310)에서 설명된) 소스 및 드레인 에칭 및 재충진이 (동작(302)에서 설명된) 핀 구조물의 형성 전에 일어난다는 점을 제외하고 예시적인 공정(300)(도 3)과 유사하다. 이 공정에서, 재충진 전에 소스 및 드레인(1402)을 에칭하여 없애기 위해 표준 포토레지스트 마스크가 사용될 수 있다. 추가로, 소스 및 드레인(1402)을 형성할 실리콘만이 에칭되고 재충진된다. 영역(1404)은 에칭되지 않고 남아있으며, 기판(1400)의 원래의 상단 표면이다. 이 예시적인 공정에서의 후속 스테이지는 위에서 동작(302)에 관하여 설명된 바와 같이 핀 구조물을 형성한다. 기판(1400)은 SOI 웨이퍼로서 도시되지만, 이 공정은 벌크 웨이퍼에 대해서도 사용될 수 있다.
도 15의 (a) 내지 (c)는 finFET의 제조를 위한 다른 예시적인 공정의 스테이지를 도시한다. 이러한 예시적인 공정은, 소스 및 드레인(1502)이 에칭되고 재충진되는 것 외에, 소스 및 드레인(1502) 근처의 영역(1504)도 에칭되고 재충진된다는 점을 제외하고는 위에서 도 14의 (a) 내지 (c)에 관하여 설명된 예시적인 공정과 유사하다. 기판(1500)의 원래의 상단 표면의 영역(1506)만이 남는다. 이러한 예시적인 공정에서의 후속 스테이지는 위에서 동작(302)에 관하여 설명된 바와 같이 핀 구조물을 형성한다. 기판(1500)은 SOI 웨이퍼로서 도시되지만, 이러한 공정은 벌크 웨이퍼에 대해서도 사용될 수 있다.
도 15의 (a) 내지 (c)의 소스 및 드레인(1502), 및 소스 및 드레인(1502) 부근의 영역(1504)은 하나의 특정 음영으로 도시되었지만, 이러한 영역들의 결정 구조는 장치 전반에 걸쳐 변할 수 있다. 예를 들어, 이러한 영역들에서 실리콘을 재성장시키기 위해 에피택시가 사용되는 경우, 영역(1506)에 인접한 실리콘은 결정질일 수 있지만, 영역(1506)으로부터 멀리 떨어진 실리콘은 다결정질일 수 있다. 단일한 음영의 사용은 이러한 영역들이 균일한 결정 구조를 가지거나, 반드시 다른 균일한 특성들을 가진다는 것을 의미하도록 의도된 것은 아니다.
본 발명의 특정 실시예들의 상기 설명들은 예시 및 설명의 목적으로 제공되었다. 그것들은 포괄적이거나, 본 발명을 개시된 정확한 형태에 한정하도록 의도된 것이 아니며, 상기 교시를 고려하여 다수의 수정들 및 변형들이 가능함을 이해해야 한다.

Claims (18)

  1. 소스 영역, 드레인 영역, 및 상기 소스 영역과 상기 드레인 영역 사이의 채널 영역을 갖는 핀(fin)을 갖는 핀 전계 효과 트랜지스터(finFET)를 제조하기 위한 방법으로서,
    반도체 기판 상에 상기 핀을 에칭하는 단계;
    상기 채널 영역 상에 게이트 스택을 형성하는 단계 - 상기 게이트 스택은 상기 채널 영역과 직접 접촉하는 절연층, 및 상기 절연층과 직접 접촉하는 게이트 재료를 가짐 - ;
    상기 소스 영역 및 상기 드레인 영역을 에칭하여 상기 채널 영역을 남겨두는 단계;
    상기 소스 영역 및 상기 드레인 영역에 인접했던 상기 채널 영역의 면들 상에 에피택셜 반도체를 성장시켜, 소스 에피택시 영역 및 드레인 에피택시 영역을 각각 형성하는 단계; 및
    상기 에피택셜 반도체를 성장시키는 동안 상기 에피택셜 반도체를 인-사이추(in-situ) 도핑하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 핀 주위 및 상기 핀 상에 격리 층을 퇴적하는 단계; 및
    상기 핀의 표면과 거의 동일 평면을 이루는 상단 표면을 가지도록 상기 격리 층을 연마하는 단계
    를 더 포함하는 방법.
  3. 제2항에 있어서,
    격리 층을 퇴적하는 단계 및 상기 격리 층을 연마하는 단계는 상기 게이트 스택을 형성하는 단계 전에 발생하는 방법.
  4. 제3항에 있어서,
    상기 격리 층이 여전히 상기 핀의 하단 부분을 덮는 한편 상기 핀의 상단 부분이 노출되도록 상기 격리 층을 에치백하는 단계를 더 포함하는 방법.
  5. 제1항에 있어서,
    상기 소스 영역 및 상기 드레인 영역을 에칭하는 단계 및 상기 에피택셜 반도체를 성장시키는 단계는 상기 핀을 에칭하는 단계 전에 발생하는 방법.
  6. 제1항에 있어서,
    상기 채널 영역은 고유 변형(intrinsic strain)을 갖고, 상기 소스 에피택시 영역들은 제1 변형을 갖고, 상기 소스 에피택시 영역의 상기 제1 변형은 상기 채널 영역 중 적어도 일부의 고유 변형을 수정하여, 상기 채널 영역 내의 전자들 또는 홀들의 이동도를 개선하는 방법.
  7. 제1항에 있어서,
    상기 반도체 기판은 벌크 실리콘 웨이퍼인 방법.
  8. 제1항에 있어서,
    상기 드레인 에피택시 영역은 반도체 웨이퍼와 상이한 재료인 방법.
  9. 제1항에 있어서,
    상기 에피택셜 반도체는 상기 반도체 기판의 재료에 대해 선택적으로 성장되는 방법.
  10. 제1항에 있어서,
    상기 게이트 스택의 일부를 제거하는 단계; 및
    상기 채널 영역 위에 금속 게이트를 형성하는 단계
    를 더 포함하는 방법.
  11. 제10항에 있어서,
    상기 채널 영역 위에 상기 금속 게이트를 형성하는 단계 전에 상기 채널 영역 상에 유전체 층을 퇴적하는 단계를 더 포함하는 방법.
  12. 반도체 기판 상의 finFET으로서,
    상기 반도체 기판으로부터 돌출된 제1 면, 및 상기 제1 면의 반대편에 있는, 상기 반도체 기판으로부터 돌출된 제2 면을 갖는 채널 영역;
    상기 채널 영역과 오버레이하는 게이트 스택 - 상기 게이트 스택은 상기 채널 영역의 상기 제1 면 및 상기 제2 면과 직접 접촉하는 절연층을 포함하며, 상기 게이트 스택은 상기 절연층과 직접 접촉하는 도전성 게이트 재료를 포함함 - ;
    상기 채널 영역 상에 에피택셜 성장된 반도체의 소스 에피택시 영역 - 상기 소스 에피택시 영역은 인-사이추 도핑됨 - ; 및
    상기 소스 에피택시 영역으로부터 떨어져서 상기 채널 영역 상에 에피택셜 성장된 반도체의 드레인 에피택시 영역 - 상기 드레인 에피택시 영역은 인-사이추 도핑됨 -
    을 포함하는 finFET.
  13. 제12항에 있어서,
    상기 채널 영역은 고유 변형을 갖고, 상기 소스 에피택시 영역 및 상기 드레인 에피택시 영역은 제1 변형을 갖고, 상기 소스 에피택시 영역 및 상기 드레인 에피택시 영역의 상기 제1 변형은 상기 채널 영역 중 적어도 일부의 상기 고유 변형을 수정하여, 상기 채널 영역 내의 전자들 또는 홀들의 이동도를 개선하는 finFET.
  14. 제12항에 있어서,
    상기 반도체 기판은 벌크 실리콘 웨이퍼인 finFET.
  15. 제12항에 있어서,
    상기 드레인 에피택시 영역은 반도체 웨이퍼와 상이한 재료인 finFET.
  16. 제12항에 있어서,
    상기 소스 에피택시 영역 및 상기 드레인 에피택시 영역은 상이한 재료 특성들을 갖는 finFET.
  17. 제12항에 있어서,
    상기 게이트 재료는 금속인 finFET.
  18. 제12항에 있어서,
    절연 재료는 하프늄을 포함하는 finFET.
KR1020120081581A 2011-07-27 2012-07-26 치환 소스/드레인 finfet 제조 KR20130014030A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/192,378 US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication
US13/192,378 2011-07-27

Publications (1)

Publication Number Publication Date
KR20130014030A true KR20130014030A (ko) 2013-02-06

Family

ID=47596523

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120081581A KR20130014030A (ko) 2011-07-27 2012-07-26 치환 소스/드레인 finfet 제조

Country Status (4)

Country Link
US (3) US8685825B2 (ko)
JP (1) JP2013030776A (ko)
KR (1) KR20130014030A (ko)
TW (1) TW201318077A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150079407A (ko) * 2013-12-30 2015-07-08 아이엠이씨 브이제트더블유 정전 방전 보호 개선
KR20170141726A (ko) * 2015-04-21 2017-12-26 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 3 차원 디바이스를 제조하기 위한 방법

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US9099388B2 (en) * 2011-10-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. III-V multi-channel FinFETs
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103811340B (zh) * 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US8853025B2 (en) * 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) * 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9299840B2 (en) 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR102017625B1 (ko) * 2013-05-10 2019-10-22 삼성전자주식회사 반도체 장치 및 그 제조방법
US9385233B2 (en) * 2013-06-26 2016-07-05 Globalfoundries Inc. Bulk finFET with partial dielectric isolation featuring a punch-through stopping layer under the oxide
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9105707B2 (en) 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9048262B2 (en) * 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
KR102105363B1 (ko) 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102157839B1 (ko) * 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
JP6361180B2 (ja) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
MY186544A (en) 2014-03-24 2021-07-26 Intel Corp Fin sculpting and cladding during replacement gate process for transistor channel applications
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9209305B1 (en) * 2014-06-06 2015-12-08 Stmicroelectronics, Inc. Backside source-drain contact for integrated circuit transistor devices and method of making same
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
KR102310080B1 (ko) * 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9343300B1 (en) * 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US9741829B2 (en) * 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9437496B1 (en) 2015-06-01 2016-09-06 Globalfoundries Inc. Merged source drain epitaxy
KR102395073B1 (ko) 2015-06-04 2022-05-10 삼성전자주식회사 반도체 소자
US9601621B1 (en) * 2015-08-25 2017-03-21 International Business Machines Corporation Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10050043B2 (en) 2016-01-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory (SRAM) using FinFETs with varying widths of fin structures
US9634084B1 (en) 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10008603B2 (en) * 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
US10373912B2 (en) 2018-01-05 2019-08-06 International Business Machines Corporation Replacement metal gate processes for vertical transport field-effect transistor
US10529831B1 (en) 2018-08-03 2020-01-07 Globalfoundries Inc. Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging
US10672905B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
US10714399B2 (en) 2018-08-21 2020-07-14 International Business Machines Corporation Gate-last process for vertical transport field-effect transistor
US10672670B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
WO2020100338A1 (ja) * 2019-06-21 2020-05-22 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7355233B2 (en) * 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6979622B1 (en) * 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
US7709312B2 (en) 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
KR100836761B1 (ko) * 2006-12-08 2008-06-10 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100832721B1 (ko) * 2006-12-27 2008-05-28 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
WO2009029954A2 (en) 2007-09-01 2009-03-05 Yann Roussillon Improved solution deposition assembly
US8247312B2 (en) 2008-04-24 2012-08-21 Innovalight, Inc. Methods for printing an ink on a textured wafer surface
DE102008049719A1 (de) * 2008-09-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Asymmetrische Transistorbauelemente, die durch asymmetrische Abstandshalter und eine geeignete Implantation hergestellt sind
US7615393B1 (en) 2008-10-29 2009-11-10 Innovalight, Inc. Methods of forming multi-doped junctions on a substrate
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US20100207175A1 (en) * 2009-02-16 2010-08-19 Advanced Micro Devices, Inc. Semiconductor transistor device having an asymmetric embedded stressor configuration, and related manufacturing method
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8334184B2 (en) * 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8900936B2 (en) * 2011-01-31 2014-12-02 International Business Machines Corporation FinFET device having reduce capacitance, access resistance, and contact resistance

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150079407A (ko) * 2013-12-30 2015-07-08 아이엠이씨 브이제트더블유 정전 방전 보호 개선
KR20170141726A (ko) * 2015-04-21 2017-12-26 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 3 차원 디바이스를 제조하기 위한 방법

Also Published As

Publication number Publication date
US20150031181A1 (en) 2015-01-29
US8685825B2 (en) 2014-04-01
US20130026539A1 (en) 2013-01-31
US9209278B2 (en) 2015-12-08
TW201318077A (zh) 2013-05-01
US20140175568A1 (en) 2014-06-26
JP2013030776A (ja) 2013-02-07

Similar Documents

Publication Publication Date Title
US8685825B2 (en) Replacement source/drain finFET fabrication
US8871584B2 (en) Replacement source/drain finFET fabrication
US10971406B2 (en) Method of forming source/drain regions of transistors
TWI702657B (zh) 鰭狀場效電晶體裝置與其形成方法
US9647118B2 (en) Device having EPI film in substrate trench
KR101369560B1 (ko) FinFET를 위한 장치 및 방법
US10163677B2 (en) Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US20220328632A1 (en) Silicon on insulator device with partially recessed gate
US8828832B2 (en) Strained structure of semiconductor device
US9425315B2 (en) FinFET semiconductor device with isolated fins made of alternative channel materials
US20160087062A1 (en) Semiconductor devices and methods for manufacturing the same
US9324792B1 (en) FinFET including varied fin height
US20170179275A1 (en) Fin-type semiconductor structure and method for forming the same
CN103579004A (zh) FinFET及其制造方法
TWI396229B (zh) 用可棄式間隔物之提高的源極與汲極製程
TWI687980B (zh) 半導體元件及其製作方法
CN106558493B (zh) 鳍式场效应管的形成方法
KR101697044B1 (ko) 개선된 트랜지스터 채널
US20210134995A1 (en) Vertical channel device
CN106558552B (zh) Cmos制作方法
KR20100073416A (ko) 반도체 소자 및 이의 제조 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid