JP2013030776A - 代用ソース/ドレインフィンfet加工 - Google Patents

代用ソース/ドレインフィンfet加工 Download PDF

Info

Publication number
JP2013030776A
JP2013030776A JP2012167379A JP2012167379A JP2013030776A JP 2013030776 A JP2013030776 A JP 2013030776A JP 2012167379 A JP2012167379 A JP 2012167379A JP 2012167379 A JP2012167379 A JP 2012167379A JP 2013030776 A JP2013030776 A JP 2013030776A
Authority
JP
Japan
Prior art keywords
region
source
drain
channel region
finfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012167379A
Other languages
English (en)
Inventor
Tang Daniel
ダニエル,タン
Yen Tzushih
レオナルド,イェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Ion Beam Technology Inc
Original Assignee
Advanced Ion Beam Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Ion Beam Technology Inc filed Critical Advanced Ion Beam Technology Inc
Publication of JP2013030776A publication Critical patent/JP2013030776A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】finFETにおける高集積化可能な、高濃度ソースドレインの形成方法の提供。
【解決手段】ソース領域、ドレイン領域およびソース領域とドレイン領域の間のチャネル領域を有するフィンを形成する。チャネル領域にダイレクトコンタクトする絶縁層と、絶縁層にダイレクトコンタクトする伝導性のゲート物質とを有するゲートスタックを形成する。チャネル領域を残したまま、ソース領域およびドレイン領域をエッチング除去する。ソース領域およびドレイン領域に隣接したチャネル領域の両側にソースエピタキシー領域およびドレインエピタキシー領域を形成する。ソースエピタキシー領域およびドレインエピタキシー領域は、エピタキシャル半導体を成長させながら、その場ドープされる。
【選択図】図3

Description

発明の詳細な説明
〔背景〕
1:技術分野
本発明は、一般的にフィン電界効果トランジスタ(finFET)および、より具体的には代用ソースおよび代用ドレインを有するfinFETに関する。
2:関連技術の説明
finFETは、従来の金属酸化膜半導体電界効果トランジスタ(MOSFET)と同じ原理で動作する、非平面の多重ゲートトランジスタである。シリコンのアイランドまたはフィンは、最初にウエハ上にパターン化される。フィンの形成後、パターン化されたゲート物質がフィンに対して垂直になるよう、ゲートスタックは配置され、パターン化される。パターン化されたゲート物質がフィンをオーバーラップする場合、finFETのためのゲートが形成される。finFETは、フィンにおける両方の垂直な側壁に1つのゲートを有する。フィンの上部の表面の大きさによって、finFETはフィンの上部においてゲートを有していてもよい。
例えば、図1は、シリコンオンインシュレーター(SOI)ウエハに形成されたfinFET100を示す。フィン構造102は、酸化物層108から出て、浅溝分離(STI)106より高くなっている。ゲートスタック104は、多重ゲートを形成する3つの側にフィン構造102を積み重ねる。チャネル領域は、フィン構造102上において、ゲートスタック104の下の領域によって規定される。ソース領域およびドレイン領域は、フィン構造102の反対の端部におけるチャネル領域に隣接している。
図2は、SOIウエハの酸化物層208において形成される従来のMOSFET200を示す。finFET100(図1)に対して、シリコン領域202は、STI206を有する平面であり、この平面によってゲートスタック204をシリコン領域202の片面のみに積み重ね、単一のゲートのみを形成させる。
finFETの多重ゲートは、従来のMOSFET以上に多くの改良点がある。例えば、finFETは、短チャネル効果に対して頑丈であるし、閾値下の振れを改良しながらも高い電流駆動を提供する。
しかしながら、finFETの非平面の性質は、加工中にいくつかの問題がある。例えば、従来の注入機は、表面にイオンを注入するための照準線を必要とする。finFETのソースまたはドレインの垂直な側壁にドープするために、ウエハはチルト角度で注入されなければならない。近くのfinFETからの遮蔽効果を克服するために、注入物は、多数の角度または方向で行なわれる必要があり、注入機のツールの複雑さ、注入コストおよび処理時間を増加させる。遮蔽効果を最小限にするために十分離れた非平面の構造を十分遠くに置く設計基準が採用されてもよい。しかしながら、構造空間を増加させるための設計基準を使用することは、密度の低い回路となる。チルト角度の注入物に代わる1つのものとしては、プラズマドーピングがある。しかしながら、プラズマドーピングは、ドーピング制御のような不利な点を内包しており、ドーピング濃度を制限する。
〔要約〕
具体的な実施形態において、finFETは、ソース領域、ドレイン領域およびソース領域とドレイン領域の間のチャネル領域を有するフィンを備えるように形成される。フィンは、半導体ウエハにエッチングされる。ゲートスタックは、チャネル領域にダイレクトコンタクトする絶縁層と、絶縁層にダイレクトコンタクトする伝導性のゲート物質とを有する。ソース領域およびドレイン領域は、フィンにおけるチャネル領域を残した状態でエッチングされる。エピタキシャル半導体は、ソース領域およびドレイン領域に隣接したチャネル領域の両側において成長される。ソースエピタキシー領域およびドレインエピタキシー領域は、エピタキシャル半導体を形成しながら、その場ドープされる(doped in-situ)。ソースエピタキシー領域およびドレインエピタキシー領域は、チャネル領域における電子またはホールの移動性を良くするために、チャネル領域に歪を持たせている。
〔図の説明〕
図1は、finFETを示す図である。
図2は、SOI MOSFETを示す図である。
図3は、finFETを形成するための典型的な処理を示すフローチャートである。
図4(A)は、図3に示される典型的な処理において、加工の初期段階のfinFETの典型的な実施形態を示す斜視図である。
図4(B)は、図4(A)における点線に沿って切られた平面402を示す典型的な実施形態の断面図である。
図4(C)は、図4(A)における点線に沿って切られた平面404を示す典型的な実施形態の他の断面図である。
図5(A)〜5(C),6(A)〜6(C),7(A)〜7(C),8(A)〜8(C),9(A)〜9(C)および10(A)〜10(C)は、図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。
図11(A)〜11(C)は、SOIウエハにおけるfinFETの典型的な実施形態を示す図である。
図12(A)〜12(C)は、非対称のソース領域およびドレイン領域を有するfinFETの典型的な実施形態を示す図である。
図13(A)〜13(C)は、finFETを加工するための他の典型的な処理の段階を示す図である。
図14(A)〜14(C)は、finFETを加工するための他の典型的な処理の段階を示す図である。
図15(A)〜15(C)は、finFETを加工するための他の典型的な処理の段階を示す図である。
図は、例示を目的として、本発明の様々な実施形態を示している。当業者は、ここに例示された構造および方法に代わるものが本発明の原理から離れることなく適応されることは、以下の記載から認識するだろう。
〔詳細な説明〕
次の記載は、当業者が様々な実施形態を行い、使用することを可能にするために提示されている。具体的な装置、技術およびアプリケーションの記述は、単に例として示されている。ここに記載された例についての様々な修正は、当業者にとって明白であり、ここに定義された原理は、様々な実施形態の精神および範囲から離れることなく他の例またはアプリケーションに適応されてもよい。したがって、様々な実施形態は、ここに記載された例に限定されるものではなく、クレームに等しい範囲に一致している。
図3は、finFETを組み立てるための典型的な処理300を示す図である。対応する図4(A)〜4(C),5(A)〜5(C),6(A)〜6(C),7(A)〜7(C),8(A)〜8(C)および10(A)〜10(C)は、典型的な処理300(図3)における加工の様々な段階におけるfinFETを示す図である。
具体的に、図4(A)〜4(C)は、半導体ウエハの一部である基板400を示す図である。典型的な本実施形態において、基板400は、バルクシリコンウエハの一部である。しかしながら、SOIウエハのような他のタイプのウエハまたは基板が使用されてもよい。また、シリコン以外の半導体物質としてGe,SiGe,SiC,GeP,GeN,InGaAs,InSb,InAs,GaSbおよびInPを含むものが使用されてもよいが、これらに限定されるものではない。
図3に関して、オペレーション302において、フィン構造は、基板上にエッチングされる。図5(A)〜5(C)は、ウエハのシリコンに直接接続されるフィン構造500の形成後における基板400を示す図である。このオペレーションは、フィン構造500を形成するための標準的な半導体のマスキング技術およびエッチング技術を使用してもよい。
要求されるものではないが、STI領域は、フィン構造500の形成後に、形成されてもよい。図5(A)〜5(C)は、STI502の形成後における基板400を示す図である。フィン構造の形成後、STI絶縁物質は、基板上に配置されてもよい。STI502の上部504がフィン構造500の上部506と略平坦となるまで、化学的機械的研磨(CMP)またはエッチバックのような平坦化技術がSTI絶縁物質を除去するために使用されてもよい。図5(A)〜5(C)は、STI502がフィン構造500と完全に平坦であることを示すが、実際にはこれらの領域には小さい段差が存在する。小さい段差が存在しているので、それら領域は、略平坦であると考えられる。他の典型的な処理において、STIの形成は、その処理の後に行われても良い。例えば、STIの形成は、図14(A)〜14(C)および15(A)〜15(C)に関して以下に記述されるように、ソース/ドレイン領域がエッチングされ、満たされた後に、行なわれても良い。
STI領域が形成されている場合、フィン構造の一部を露出するようにエッチバックが使用されてもよい。図6(A)〜6(C)は、フィン構造500の上部600を露出するためのSTI502のエッチバック後における基板400を示す図である。フィン構造500の底部602は、STI502によって覆われる。ある例において、STI絶縁物質は、二酸化ケイ素で構成される。この例において、ウエハのシリコン上における酸化物について選択的なエッチングが、フィン構造500の著しい量をエッチングすることなく、STI502をエッチングするために使用されてもよい。また、フォトレジストマスクまたはフォトレジストハードマスクは、エッチバックの間、フィン構造500を保護するために使用されてもよい。STI領域を形成する他の典型的な処理において、例えば図12(A)〜12(C)に関して以下に述べられるように、エッチバックは、ソース/ドレイン領域がエッチングされ、満たされた後の処理後に行われてもよい。
図3に関して、オペレーション304において、finFETのゲートは、ゲートスタックが配置され、パターン化されることによって形成される。図7(A)〜7(C)は、ゲートを形成するために、ゲートスタックが配置され、パターン化された後における基板400を示す図である。ゲートスタックの配置は、基板400上のゲート誘電体700を成長させるか、配置させることで始まる。図7(A)〜7(C)は、フィン構造500およびSTI502上に存在するゲート誘電体700を示す。したがって、図7(A)〜7(C)の場合において、ゲート誘電体700は、付着物質、または、STI502の絶縁物質と同様のシリコンで形成された物質である。ゲート誘電体700は、熱酸化物であるならば、STI502上におけるゲート誘電体700の一部は、存在しない。
次に、伝導性のゲート物質702が配置される。ある例において、伝導性のゲート物質702は、ポリシリコンまたはアモルファスシリコンであり、抵抗を小さくするために注入されてもよく、ゲート仕事関数を定めるために注入されてもよい。金属のような他の伝導性のゲート物質が使用されてもよい。
他の典型的な実施形態において、ゲートスタックは、高誘電率(High−k)金属ゲート(HKMG)スタックであってもよい。例えば、チタン窒化物のような金属ゲート、高誘電率のゲート誘電体を有する金属ゲート、ハフニウム酸化物(HfO)、ジルコニウム酸化物(ZrO)または二酸化チタン(TiO)のような金属ゲートが使用されてもよい。HKMGスタックは、dielectric(誘電体)−firstの処理、gate−firstの処理、dielectric−lastの処理、gate−lastの処理で形成されてもよい。
例えば、dielectric−lastのHKMG処理において、ゲートスタックは、最初に従来の二酸化ケイ素、ライン処理のフロントエンドの間に犠牲スタックとして使用されるポリシリコンスタックとして形成されてもよい。そして、ライン処理のバックエンドに移る前に、この犠牲スタックは、除去され、高誘電率の誘電体および金属ゲートを含むゲートスタックと取替えられてもよい。これは、gate−lastのHKMG処理の例である。
gate−lastのHKMG処理の他の例において、ゲート誘電体は、高誘電率物質(従来の誘電体を備える、または、備えない)で形成されてもよく、ゲート物質用のポリシリコンで形成されてもよい。そして、ライン処理のバックエンドに移る前に、ポリシリコンゲートは、除去され、金属ゲートに取り替えられる。ライン処理のフロントエンドにおいて初期に配置されたゲート誘電体が残る。これは、dielectric−firstのHKMG処理の間に形成される。
gate−firstの処理、dielectric−firstのHKMG処理、高誘電率と金属ゲートの両方は、ライン処理のフロントエンドの間に形成される。
ゲートスタックが配置された後、ハードマスク層704は、配置され、パターン化される。パターン化されたハードマスクは、伝導性のゲート物質702をエッチングするために使用され、選択的にゲート誘電体700をエッチングするために使用される。残存しているゲートスタック物質は、ゲート706を形成する。チャネル708は、略ゲート706によって覆われているフィン構造500における領域である。ソースおよびドレイン710は、チャネル708に隣接するフィン構造500の一方の端に存在する。記載されている個々の装置において、ソースおよびドレインは、それらが交換可能なように、一緒に記載されている。
他の処理において、ハードマスクは、フォトレジストマスクがゲート706をパターン化するために使用される場合、省略されてもよい。ゲート誘電体は、ウエハ全体の上でエッチングされることなく残っていてもよい。例えば、図8(A)〜8(C)に関して記載されるようなスペーサーエッチングステップにおいて除去されてもよい。
図3に関して、オペレーション306において、ソース/ドレイン領域のいくつか、または、全てがフィン構造のゲートが残っている状態において、チャネル領域を残したままエッチングされてもよい。このオペレーションのある例において、スペーサーに加えて、このゲートのハードマスクは、ソース/ドレインのエッチングのためのマスクとして使用されてもよい。図8(A)〜8(C)は、スペーサー800の形成およびフィン構造500を除去するためのソースおよびドレイン710(図7(A))のエッチングの後における基板400を示す図である。スペーサー800の形成は、基板400上の絶縁スペーサー物質の配置で始まる。包括的なスペーサーエッチングが、行なわれても良い。ゲート706の側壁において物質を絶縁するスペーサーがエッチングから保護される。例えば、エッチングが設定時間またはエンドポイントにおいて完成した後、スペーサー800は残される。さらに、ハードマスク層704およびスペーサー800は、ソースおよびドレイン710(図7(A))をエッチングするためのマスクとして使用されてもよい。ソースおよびドレインのエッチング後に、フィン構造500のチャネル708を残したまま、ソースおよびドレイン710からシリコンの殆どまたは全てが除去される。
オペレーション306は、スペーサーに関するオペレーションを省略してもよい。オペレーション306のこの形態は、ソースおよびドレイン領域をエッチングするために、ハードマスクのみを使用するようにしてもよい。オペレーション306の他の例において、フォトレジストマスクがハードマスクの代わりに使用されてもよい。
図3に関して、オペレーション308において、オペレーション306においてエッチングされたソースおよびドレインの一部は、シリコンで満たされる。図10(A)〜10(C)は、エッチングされたソースおよびドレイン710(図7(A))が、シリコン1000で満たされた後における基板400を示す図である。これは、例えば、シリコンを配置させるか、エピタキシャルシリコンを成長させることによって行なわれる。チャネル708の側壁がソースおよびドレインのエッチングの間に損傷した場合、その側壁は、例えば損傷した側壁を消耗させために側壁を熱で酸化させることによって、選択的に、新たなシリコンを受けるよう備えていてもよい。ソースおよびドレイン710(図7)がシリコンであったとしても、他の半導体は、それら領域を満たすように使用される。この場合、チャネル領域およびソース/ドレイン領域は、異なる物質で構成されていてもよい。
図3に関して、オペレーション308と同時に行なうオペレーション310において、ソースおよびドレインは、シリコンで満たされた状態で、その場ドープされる(doped in-situ)。図10(A)〜10(C)に関して、シリコン1000を配置するか、成長させながらドープすることによって、チルト角度およびプラズマ注入は、回避されてもよい。さらに、その場ドーピング(in-situ doping)によって、シリコン1000において一定の高濃度ドーピングを許容するので、ソースおよびドレインの抵抗は、単独での注入に比べて小さくてもよい。ソースおよびドレインの注入物を活性化に関連した熱ステップは、回避されてもよい。
包括的なシリコンの配置または成長が使用される場合、付加的なエッチングステップが非ソースおよび非ドレイン領域からシリコンを除去するために必要である。CMPまたはエッチバック(マスキングステップを有する、または、有しない)が、非伝導である領域からシリコンを除去する(例えば、ソースおよびドレインを短くするシリコンを除去する)ために使用されてもよい。例えば、図10(A)〜10(C)に示されるように、エッチングされたソースおよびドレイン710(図7(A))を満たすために配置されたシリコン1000は、ゲート706の上部が露出され、ソースおよびドレインがともに短くならないように、エッチングバックされる。
図10(A)〜10(C)のシリコン1000が特別な影で示されているが、シリコン1000の結晶構造は、装置によって変えても良い。例えば、シリコン1000がエピタキシャル処理で生成される場合、ソースおよびドレイン領域におけるシリコン1000の一部は、STI上のシリコン1000の一部が多結晶である一方、結晶であってもよい。シリコン1000について、1つの影の使用は、シリコン1000が一定の結晶構造を有している、または、他の一定の特性を有していることを示すことを意図していない。
また、選択的なエピタキシャル成長は、露出しているシリコンにおいてのみシリコンを成長させるために使用されてもよく(例えば、オペレーション306におけるソースおよびドレインの除去後に露出されるウエハおよびフィンのシリコン部分)、他の物質について使用されなくてもよい(例えば、STI絶縁体)。図9(A)〜9(C)は、エッチングされたソースおよびドレイン710(図7(A))を満たすための選択的なシリコン900の成長後における基板400を示す。選択的なエピタキシーについて、エッチバックステップは、必要でなくても良い。図9(A)〜9(C)は、図10(A)〜10(C)と対照的であり、非選択的な成長およびエッチバックステップ後の基板400を示す。図9(A)〜9(C)において、ソースおよびドレイン900の形状は、ソースおよびドレイン領域を再成長させるために選択的にエピタキシーを使用することによって生成される典型的な形状であることを意図しているだけである。ソースおよびドレイン領域の他の形状が、この発明の典型的な実施形態から逸脱することなく生成されてもよい。
熱収支を制御するために、最初に、高温度エピタキシー処理が、高品質シリコンの初期の厚みを成長させるために使用されてもよい。ポリシリコンは、ソースおよびドレインを満たすために低温度で配置されてもよい。CMP、エッチバックまたは両方がウエハを平坦化するために使用されてもよい。さらに、エッチバックは、上述したように、非ソースおよび非ドレイン領域からシリコンを除去するために必要とされる。
図9(A)〜9(C)および図10(A)〜10(C)がエッチングされたソースおよびドレインがシリコンで完全に満たされるが、他の場合において、ソースおよびドレイン領域の一部のみがシリコンで満たされる必要がある。
低抵抗ソースおよびドレインを生成することに加えて、ソースおよびドレイン710(図7(A))の置換は、チャネル708(図10(A))に歪を加えてもよい。例えば、SiGeまたはSiC(シリコン基板を使用する場合)が、ソースおよびドレイン領域がエッチング除去されるチャネル708(図10(A))の側面において選択的なエピタキシーで成長されてもよい。Si(チャネルを形成する他の半導体物質)と比較してSiGeまたはSiCの異なる格子定数は、チャネル708において半導体を歪め、チャネル708における電子またはホールの移動性を増加させる。
第1の典型的なプロセスがいくつかの処理ステップに関して記載されているが、当業者であれば、他の既知の処理ステップが機能的finFETを製造するために必要とされてもよいと認識する。例えば、閾値調整注入物は、n型またはp型のfinFETのための閾値電圧を適切に設定するために必要とされる。他の例として、シリコンの配置またはエピタキシャル成長によるソースおよびドレインの再補充は、2度行なわれても良い。p型finFETはp型ソースおよびドレインを必要とし、n型finFETはn型ソースおよびドレインを必要とする。
図11(A)〜11(C)は、基板1100におけるfinFETの他の典型的な実施形態を示す図である。この典型的な実施形態は、SOIウエハが上述のバルクウエハに代えて基板1100のために使用されることを除いて、図10(A)〜10(C)において記載されている典型的な実施形態に類似している。また、この典型的な実施形態に使用される処理は、STI領域の形成を含まない。図11(A)〜11(C)におけるソースおよびドレイン1102の形状は、ソースおよびドレイン領域を再成長させるために選択的にエピタキシーを使用することによって、生成される典型的な形状であることを意図しているのみである。ソースおよびドレイン領域の他の形状が発明の実施形態から逸脱することなく生成されてもよい。
図12(A)〜12(C)は、異なる物質の特性を有する非対称のソース1200およびドレイン1202を有するfinFETの他の典型的な実施形態を示す図である。例えば、ソース1200およびドレイン1202は、異なる物質で構成されてもよい。他の例として、2つの領域についてのドーピングは、異なっていてもよい。また、他の例において、2つの領域の歪みは、異なっていてもよい。この典型的な実施形態は、ソース1200とドレイン1202が別々に成長される必要がある。図12(A)〜12(C)におけるソース1200およびドレイン1202の形状は、ソースおよびドレイン領域を再成長させるために選択的にエピタキシーを使用することによって生成される典型的な形状であることを意図しているだけである。ソースおよびドレイン領域の他の形状は、発明の実施形態から逸脱することなく、生成されてもよい。
図13(A)〜13(C)は、他の典型的な処理の段階を示す図である。この典型的な処理は、図5(A)〜5(C)に関して上述したSTIエッチバックステップが処理において後に行なわれることを除いて、典型的な処理300(図3)に類似している。図13(A)〜13(C)は、フィン構造1306の形成、STI1304(図5(A)〜5(C)に関して記載される)の配置、ソースドレイン1302(オペレーション306,308,310に記載される)のエッチングおよび補充の後における基板1300を示す。しかしながら、STI1304のエッチバックに記載されている)は、まだ生じていない。STIエッチバックステップ(図5(A)〜5(C))は、ゲート形成(オペレーション304)に優先して、いくつかのポイントで生じる。基板1300は、SOIウエハとして示される。しかしながら、この処理は、バルクウエハ上で同様に使用され得る。
図14(A)〜14(C)は、finFETの加工のための他の典型的な処理の段階を示す図である。この典型的な処理は、ソースおよびドレインのエッチングおよび補充がフィン構造の形成に優先して行なわれることを除いて、典型的な処理300(図3)に類似する。この処理において、標準的なフォトレジストマスクが、補充に優先してソースおよびドレイン1402をエッチング除去するために使用されてもよい。さらに、ソースおよびドレイン1402を形成するシリコンのみがエッチングされ、補充されてもよい。領域1404は、エッチングされないままであり、基板1400の原型の上部表面である。この典型的な処理における後の段階によって、オペレーション302に関して、上述のフィン構造を形成する。基板1400は、SOIウエハとして示される。しかしながら、この処理は、バルクウエハと共に使用される。
図15(A)〜15(C)は、finFETの加工のための他の典型的な処理の段階を示す図である。この典型的な処理は、ソースおよびドレイン1502がエッチングされ、補充されることに加え、ソースおよびドレイン1502の周囲の領域1504がエッチングされ、補充されることを除いて、図14(A)〜14(C)に関して上述のような典型的な処理に類似している。領域1506は、基板1500の原型の上部表面に残る。この典型的な処理における後の段階は、オペレーション302に関して上述のようにフィン構造を形成する。基板1500は、SOIウエハとして示される。しかしながら、この処理は、バルクウエハと共に使用されてもよい。
図15(A)〜15(C)のソースおよびドレイン1052周囲におけるソースおよびドレイン1502および領域1504は、ある特別な影で示されているが、これら領域の結晶構造は、装置によって変えてもよい。本発明の特別な実施形態の先の記述は、例示および図の目的で提示されている。それらは、本発明を、開示の具体的な形状に徹底的に、または、限定的にするものではなく、多くの修正および変更が上述の教示を参照すれば可能であることが理解されるべきである。例えば、エピタキシーがこれらの領域においてシリコンを再補充するために使用される場合、領域1506に隣接するシリコンは、領域1506から離れているシリコンが多結晶である一方、結晶であってもよい。1つの陰の使用は、これら領域が一定の結晶構造を有するか、他の一定の特性を有していることを意味することを意図していない。
本発明の特別な実施形態における先の記述は、例示および図の目的で提示されている。それらは、本発明を、開示の具体的な形状に徹底的に、または、限定的にするものではなく、多くの修正および変更が上述の教示を参照すれば可能であることが理解されるべきである。
finFETを示す図である。 SOI MOSFETを示す図である。 finFETを形成するための典型的な処理を示すフローチャートである。 図3に示される典型的な処理において、加工の初期段階のfinFETの典型的な実施形態を示す斜視図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 図3において示された典型的な処理において、加工の様々な段階の典型的な実施形態を示す図である。 SOIウエハにおけるfinFETの典型的な実施形態を示す図である。 非対称のソース領域およびドレイン領域を有するfinFETの典型的な実施形態を示す図である。 finFETを加工するための他の典型的な処理の段階を示す図である。 finFETを加工するための他の典型的な処理の段階を示す図である。 finFETを加工するための他の典型的な処理の段階を示す図である。

Claims (18)

  1. ソース領域、ドレイン領域および前記ソース領域と前記ドレイン領域との間のチャネル領域を有するフィンを備えたフィン電解効果トランジスタ(finFET)を加工するための方法であって、
    半導体基板において前記フィンをエッチングするステップと、
    前記チャネル領域においてゲートスタックを形成するステップと、を含み、
    前記ゲートスタックは、前記チャネル領域にダイレクトコンタクトした絶縁層と、前記絶縁層にダイレクトコンタクトしたゲート物質とを有し、
    前記チャネル領域を残すように、前記ソース領域および前記ドレイン領域をエッチングするステップと、
    前記ソース領域および前記ドレイン領域に隣接する前記チャネル領域の両側において、ソースエピタキシー領域およびドレインエピタキシー領域それぞれを形成するために、エピタキシャル半導体を成長させるステップと、
    前記エピタキシャル半導体を成長させながら、前記エピタキシャル半導体をその場ドーピングするステップと、を含む方法。
  2. 前記フィンの周り、および、前記フィン上に、絶縁層を配置するステップと、
    前記フィンの表面に略同一平面の上部表面を有するように、前記絶縁層を研磨するステップと、を含む、請求項1に記載の方法。
  3. 前記ゲートスタックを形成するステップに優先して、絶縁層を配置するステップおよび前記絶縁層を研磨するステップが行なわれる、請求項2に記載の方法。
  4. 前記フィンの底部分を覆う一方で、前記フィンの上部分が露出するように、前記絶縁層をエッチバックするステップを含む、請求項3に記載の方法。
  5. 前記フィンをエッチングするステップに優先して、前記ソースおよび前記ドレインをエッチングするステップおよび前記エピタキシャル半導体を成長させるステップが行なわれる、請求項1に記載の方法。
  6. 前記チャネル領域は、固有の歪みを有し、前記ソースエピタキシー領域は第1歪みを有し、前記ソースエピタキシー領域の前記第1歪みは、前記チャネル領域における電子またはホールの移動性を良くするために、前記チャネル領域の少なくとも一部における前記固有の歪みを修正する、請求項1に記載の方法。
  7. 前記半導体基板は、バルクシリコンウエハである、請求項1に記載の方法。
  8. 前記ドレインエピタキシー領域は、前記半導体ウエハと異なる物質である、請求項1に記載の方法。
  9. 前記エピタキシャル半導体は、前記半導体基板の物質に選択的に成長される、請求項1に記載の方法。
  10. 前記ゲートスタックの一部を除去するステップと、
    前記チャネル領域上に金属ゲートを形成するステップと、を含む、請求項1に記載の方法。
  11. 前記チャネル領域上に前記金属ゲートを形成する前に、前記チャネル領域に誘電層を配置するステップを含む、請求項10に記載の方法。
  12. 半導体基板におけるfinFETであって、
    前記半導体基板から突き出る第1側面と、前記第1側面と反対側であって、前記半導体基板から突き出る第2側面とを有するチャネル領域と、
    前記チャネル領域を覆うゲートスタックとを備え、
    前記ゲートスタックは、前記チャネル領域の前記第1側面および前記第2側面とダイレクトコンタクトした絶縁層と、前記絶縁層にダイレクトコンタクトする伝導性のゲート物質とを含み、
    前記チャネル領域においてエピタキシャリーに成長される半導体のソースエピタキシー領域を備え、前記ソースエピタキシー領域は、ドープされ、
    前記ソースエピタキシー領域から離れた前記チャネル領域においてエピタキシャルに成長される半導体のドレインエピタキシー領域を備え、前記ドレインエピタキシー領域は、ドープされる、finFET。
  13. 前記チャネル領域は、固有の歪みを有し、前記ソースエピタキシー領域および前記ドレインエピタキシー領域は、第1歪みを有し、前記ソースエピタキシー領域および前記ドレインエピタキシー領域の第1歪みは、前記チャネル領域における電子とホールの移動性を良くするために、前記チャネル領域の少なくと一部の前記固有の歪みを修正する、請求項12に記載のfinFET。
  14. 前記半導体基板は、バルクシリコンウエハである、請求項12に記載のfinFET。
  15. 前記ドレインエピタキシー領域は、前記半導体ウエハと異なる物質である、請求項12に記載のfinFET。
  16. 前記ソースエピタキシー領域および前記ドレインエピタキシー領域は、異なる材料特性を有している、請求項12に記載のfinFET。
  17. 前記ゲート物質は、金属である、請求項12に記載のfinFET。
  18. 前記絶縁物質は、ハフニウムを含む、請求項12に記載のfinFET。
JP2012167379A 2011-07-27 2012-07-27 代用ソース/ドレインフィンfet加工 Pending JP2013030776A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/192,378 US8685825B2 (en) 2011-07-27 2011-07-27 Replacement source/drain finFET fabrication
US13/192,378 2011-07-27

Publications (1)

Publication Number Publication Date
JP2013030776A true JP2013030776A (ja) 2013-02-07

Family

ID=47596523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012167379A Pending JP2013030776A (ja) 2011-07-27 2012-07-27 代用ソース/ドレインフィンfet加工

Country Status (4)

Country Link
US (3) US8685825B2 (ja)
JP (1) JP2013030776A (ja)
KR (1) KR20130014030A (ja)
TW (1) TW201318077A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015170812A (ja) * 2014-03-10 2015-09-28 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US9299840B2 (en) 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9634084B1 (en) 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
WO2020100338A1 (ja) * 2019-06-21 2020-05-22 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8595661B2 (en) * 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US9099388B2 (en) * 2011-10-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. III-V multi-channel FinFETs
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103811340B (zh) * 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US8984463B2 (en) 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
US8853025B2 (en) * 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR102017625B1 (ko) * 2013-05-10 2019-10-22 삼성전자주식회사 반도체 장치 및 그 제조방법
US9385233B2 (en) 2013-06-26 2016-07-05 Globalfoundries Inc. Bulk finFET with partial dielectric isolation featuring a punch-through stopping layer under the oxide
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9105707B2 (en) 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9048262B2 (en) * 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
KR102105363B1 (ko) 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
EP2889906B1 (en) * 2013-12-30 2019-02-20 IMEC vzw Improvements in or relating to electrostatic discharge protection
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102157839B1 (ko) * 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
MY186544A (en) 2014-03-24 2021-07-26 Intel Corp Fin sculpting and cladding during replacement gate process for transistor channel applications
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9209305B1 (en) * 2014-06-06 2015-12-08 Stmicroelectronics, Inc. Backside source-drain contact for integrated circuit transistor devices and method of making same
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
KR102310080B1 (ko) * 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9343300B1 (en) * 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US9748364B2 (en) * 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US9741829B2 (en) * 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9437496B1 (en) 2015-06-01 2016-09-06 Globalfoundries Inc. Merged source drain epitaxy
KR102395073B1 (ko) 2015-06-04 2022-05-10 삼성전자주식회사 반도체 소자
US9601621B1 (en) * 2015-08-25 2017-03-21 International Business Machines Corporation Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10050043B2 (en) 2016-01-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory (SRAM) using FinFETs with varying widths of fin structures
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10008603B2 (en) * 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
US10373912B2 (en) 2018-01-05 2019-08-06 International Business Machines Corporation Replacement metal gate processes for vertical transport field-effect transistor
US10529831B1 (en) 2018-08-03 2020-01-07 Globalfoundries Inc. Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging
US10672670B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
US10672905B2 (en) 2018-08-21 2020-06-02 International Business Machines Corporation Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
US10714399B2 (en) 2018-08-21 2020-07-14 International Business Machines Corporation Gate-last process for vertical transport field-effect transistor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
JP2008511171A (ja) * 2004-08-24 2008-04-10 フリースケール セミコンダクター インコーポレイテッド 異なる材料から成る構成素子を有する半導体トランジスタ及び形成方法
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2010527153A (ja) * 2007-05-14 2010-08-05 インテル コーポレイション チップレス・エピタキシャルソース/ドレイン領域を有する半導体デバイス
US20110147812A1 (en) * 2009-12-23 2011-06-23 Steigerwald Joseph M Polish to remove topography in sacrificial gate layer prior to gate patterning

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7355233B2 (en) * 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7709312B2 (en) 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
KR100836761B1 (ko) * 2006-12-08 2008-06-10 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100832721B1 (ko) * 2006-12-27 2008-05-28 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
WO2009029954A2 (en) 2007-09-01 2009-03-05 Yann Roussillon Improved solution deposition assembly
US8247312B2 (en) 2008-04-24 2012-08-21 Innovalight, Inc. Methods for printing an ink on a textured wafer surface
DE102008049719A1 (de) * 2008-09-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Asymmetrische Transistorbauelemente, die durch asymmetrische Abstandshalter und eine geeignete Implantation hergestellt sind
US7615393B1 (en) 2008-10-29 2009-11-10 Innovalight, Inc. Methods of forming multi-doped junctions on a substrate
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US20100207175A1 (en) * 2009-02-16 2010-08-19 Advanced Micro Devices, Inc. Semiconductor transistor device having an asymmetric embedded stressor configuration, and related manufacturing method
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8900936B2 (en) * 2011-01-31 2014-12-02 International Business Machines Corporation FinFET device having reduce capacitance, access resistance, and contact resistance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008511171A (ja) * 2004-08-24 2008-04-10 フリースケール セミコンダクター インコーポレイテッド 異なる材料から成る構成素子を有する半導体トランジスタ及び形成方法
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
JP2010527153A (ja) * 2007-05-14 2010-08-05 インテル コーポレイション チップレス・エピタキシャルソース/ドレイン領域を有する半導体デバイス
US20110147812A1 (en) * 2009-12-23 2011-06-23 Steigerwald Joseph M Polish to remove topography in sacrificial gate layer prior to gate patterning

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510872B2 (en) 2013-03-08 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9299840B2 (en) 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US10964799B2 (en) 2013-03-08 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9806177B2 (en) 2013-03-08 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US10374063B2 (en) 2013-03-08 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
JP2015170812A (ja) * 2014-03-10 2015-09-28 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US9818877B2 (en) 2014-09-18 2017-11-14 International Business Machines Corporation Embedded source/drain structure for tall finFET and method of formation
US10559690B2 (en) 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation
US10896976B2 (en) 2014-09-18 2021-01-19 International Business Machines Corporation Embedded source/drain structure for tall FinFet and method of formation
US9634084B1 (en) 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
WO2020100338A1 (ja) * 2019-06-21 2020-05-22 株式会社日立ハイテク プラズマ処理方法
KR20200145823A (ko) * 2019-06-21 2020-12-30 주식회사 히타치하이테크 플라스마 처리 방법
JPWO2020100338A1 (ja) * 2019-06-21 2021-02-15 株式会社日立ハイテク プラズマ処理方法
JP7000568B2 (ja) 2019-06-21 2022-01-19 株式会社日立ハイテク プラズマ処理方法
US11373875B2 (en) 2019-06-21 2022-06-28 Hitachi High-Tech Corporation Plasma processing method
KR102447235B1 (ko) * 2019-06-21 2022-09-27 주식회사 히타치하이테크 플라스마 처리 방법

Also Published As

Publication number Publication date
US20150031181A1 (en) 2015-01-29
KR20130014030A (ko) 2013-02-06
US20140175568A1 (en) 2014-06-26
US9209278B2 (en) 2015-12-08
US8685825B2 (en) 2014-04-01
US20130026539A1 (en) 2013-01-31
TW201318077A (zh) 2013-05-01

Similar Documents

Publication Publication Date Title
JP2013030776A (ja) 代用ソース/ドレインフィンfet加工
US10818661B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8871584B2 (en) Replacement source/drain finFET fabrication
US10971406B2 (en) Method of forming source/drain regions of transistors
KR101735204B1 (ko) 채널 영역의 이동성을 개선시키기 위한 apt(anti-punch through) 주입 영역 위의 장벽층을 포함하는 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 그 형성 방법
TWI576902B (zh) 半導體裝置與其製作方法
TWI498950B (zh) 半導體裝置及其製造方法
TWI517266B (zh) 鰭式場效電晶體裝置的製造方法
CN105321822B (zh) 用于非平面化合物半导体器件的沟道应变控制
US20120276695A1 (en) Strained thin body CMOS with Si:C and SiGe stressor
US9437740B2 (en) Epitaxially forming a set of fins in a semiconductor device
WO2013170477A1 (zh) 半导体器件及其制造方法
TW202240900A (zh) 半導體裝置及其製造方法
US10707315B2 (en) Hybrid doping profile

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160726

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160729

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170307