KR20120036772A - 가스 방출 파이프 및 관련 방법 - Google Patents

가스 방출 파이프 및 관련 방법 Download PDF

Info

Publication number
KR20120036772A
KR20120036772A KR1020110102354A KR20110102354A KR20120036772A KR 20120036772 A KR20120036772 A KR 20120036772A KR 1020110102354 A KR1020110102354 A KR 1020110102354A KR 20110102354 A KR20110102354 A KR 20110102354A KR 20120036772 A KR20120036772 A KR 20120036772A
Authority
KR
South Korea
Prior art keywords
gas
vacuum pump
discharge
inert gas
channel
Prior art date
Application number
KR1020110102354A
Other languages
English (en)
Inventor
띠에리 닐
Original Assignee
아딕슨 배큠 프로덕츠
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아딕슨 배큠 프로덕츠 filed Critical 아딕슨 배큠 프로덕츠
Publication of KR20120036772A publication Critical patent/KR20120036772A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Compressors, Vaccum Pumps And Other Relevant Systems (AREA)
  • Exhaust Gas After Treatment (AREA)
  • Jet Pumps And Other Pumps (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명의 실시예는 제1 진공 펌프에, 그리고 한편으로는 적어도 제2 진공 펌프에 다른 한편으로는 반응기 출구에 각각 연결되도록 설계되는 제1 방출 채널 및 적어도 하나의 제2 방출 채널을 포함하고, 제1 방출 채널 및 적어도 제2 방출 채널은 주입 방향이 진공 펌프의 흡입 방향과 반대로 각각 지향되는 비활성 가스를 주입하기 위한 제1 수단 및 적어도 제2 수단을 포함하는 가스 방출 파이프를 기술한다.

Description

가스 방출 파이프 및 관련 방법 {GAS DISCHARGE PIPE AND ASSOCIATED METHOD}
본 발명은 반응기로부터의 가스 방출에 관한 것이고, 더 구체적으로 원자 층 증착 장비의 아이템의 반응기와 같은 화학 반응기로부터의 방출되는 반응 가스 잔여물의 방출에 관한 것이다.
종래 기술에 따른 원자 층 증착 장비의 아이템의 일반적인 작동이 아래에 기술된다. 2개의 반응 가스(G1 및 G2)는 웨이퍼 상의 원자 층의 증착을 허용하기 위해 기판("웨이퍼")이 내부에 있는 반응기 내로 연이어 삽입된다. 가열 요소에 의해 반응기 내에 고온이 유지된다. 반응 가스(G1 또는 G2)의 잔여물은 반응기의 출구에 위치된 방출 파이프를 통하여 진공 펌프로 방출된다.
이러한 방법으로 인한 문제점으로는 2개의 반응 가스(G1 및 G2)가 진공 펌프에서 혼합될 수 있다는 것이 있다. 이러한 혼합은 가스(G1 및 G2)를 방출하는데 사용되는 진공 펌프에서 고체 입자 및 파우더의 형성을 가져오는 화학 반응을 생성할 수 있다. 진공 펌프 내에 축적되는 고체 입자 및 파우더는 진공 펌프의 고장 및/또는 너무 이른 마모를 야기하고 이에 의해 웨이퍼의 전체 제조 비용에 영향을 미친다.
이 문제를 극복하기 위해, 종래 기술의 해결책으로는, 각각의 진공 펌프가 하나의 반응 가스에 대해 전용화되는, 2개의 별개의 진공 펌프를 사용하는 것이 있다. 진공 펌프들 중 하나의 진공 펌프 또는 다른 진공 펌프로의 전달은, 진공 펌프들이 연결되는 파이프의 방출 채널들 중 하나의 방출 채널 또는 다른 방출 채널로 반응 가스를 유도하는 방출 파이프의 입구에 있는 기계 밸브들의 시스템에 의해 수행된다.
그럼에도 불구하고, 이러한 해결책에 의해서는, 반응 가스의 혼합이 기계 밸브에서 발생할 수 있어서, 밸브에서의 부산물(sub-product) 층의 적층을 야기하여, 작동을 중단시키고 고장을 일으킬 수 있다.
따라서, 본 발명의 목적은 작동 실패를 야기할 수 있는 부산물의 형성을 방지하기 위해 작동 중인 기계 요소에서 반응 가스의 혼합을 방지하는 것을 가능하게 하고, 또한 더 신속하고 따라서 원자 층 증착 방법과 같은 펄스형 방법에 적합한 방법으로, 반응 가스가 하나의 방출 채널로부터 또 다른 방출 채널로 유도되게 하는 장치를 제안하는 것이다.
따라서, 본 발명에 따른 장치는 한편으로는 제1 진공 펌프에, 그리고 적어도 제2 진공 펌프에 각각 연결되고 다른 한편으로는 반응기 출구에 연결되도록 설계되는 제1 방출 채널 및 적어도 하나의 제2 방출 채널을 포함하고, 제1 방출 채널 및 적어도 하나의 제2 방출 채널은 주입 방향이 진공 펌프의 흡입 방향과 반대로 각각 지향되는 비활성 가스를 주입하기 위한 제1 수단 및 적어도 제2 수단을 포함하는 가스 방출 파이프이다.
"비활성 가스"는 단일의 비활성 가스 또는 비활성 가스들의 혼합물이라고 이해된다. 비활성 가스는 예컨대 질소(N2), 아르곤(Ar) 및/또는 헬륨(He)일 수 있다.
본 발명의 또 다른 양태에 따르면, 파이프는 한편으로는 반응기의 출구와 연통하고 다른 한편으로는 제1 방출 채널 및 적어도 제2 방출 채널과 연통하게 배치되는 중앙 트렁크를 포함하고, 제1 방출 채널 및 적어도 제2 방출 채널은 대략 동일한 크기의 컨덕턴스를 갖는다.
본 발명의 추가 양태에 따르면, 방출 채널은 개수가 2개이고, 중앙 트렁크는 한편으로는 제1 방출 채널과 연통하는 내부 부분을, 그리고 다른 한편으로는 벽에 의해 내부 부분과 분리되고 제2 방출 채널과 연통하는 주연 부분을 포함한다.
본 발명의 보충 양태에 따르면, 중앙 트렁크의 내부 부분의, 그리고 주연 부분의 각각의 컨덕턴스는 대략 동일한 크기를 갖는다.
본 발명의 또 다른 양태에 따르면, 비활성 가스를 주입하기 위한 제1 주입 수단은 중앙 트렁크의 내부 부분의 축에 위치되고 반응기의 출구를 향하여 지향되고, 반면에 비활성 가스를 주입하기 위한 제2 주입 수단은 중앙 트렁크의 주연 부분의 주연부에 위치되고 실질적으로 중앙 트렁크의 섹션의 중앙을 향하여 지향된다.
본 발명의 추가적 대상은 반응기로부터 발생되는 제1 반응 가스 및 적어도 하나의 제2 반응 가스를 방출 파이프를 통하여 방출하기 위한 방법이고, 제1 반응 가스 및 적어도 하나의 제2 반응 가스는 제1 진공 펌프에, 그리고 적어도 하나의 제2 진공 펌프에 연결되는 제1 방출 채널 및 적어도 하나의 제2 방출 채널을 통하여 연이어 방출되고, 각각의 진공 펌프의 흡입 방향에 반대인 방향으로 실질적으로 비활성 가스를 주입함으로써, 방출 채널들 중 하나를 향하는 반응 가스의 유동의 지향이 제어된다.
본 발명의 또 다른 양태에 따르면, 비활성 가스의 주입은 제1 및 제2 방출 채널 중 적어도 하나의 입구에서 수행된다.
본 발명의 추가 양태에 따르면, 반응기로부터 발생되는 제1 반응 가스 및 적어도 제2 반응 가스는 연이어 수용되고, 제1 진공 펌프 및 적어도 제2 진공 펌프는, 방출될 반응 가스가 제2 진공 펌프로 보내질 때 비활성 가스가 제1 방출 채널에서 주입되고, 방출될 반응 가스가 제1 진공 펌프로 보내질 때 비활성 가스가 제2 방출 채널에서 주입되도록, 제1 반응 가스 및 적어도 제2 반응 가스에 대해 각각 전용화되어 있다.
본 발명의 보충 양태에 따르면, 반응기로부터 발생되는 제1 반응 가스 및 적어도 제2 반응 가스는 방출 채널들에서의 비활성 가스의 주입이 또한 교대로 수행되도록, 교대로 수용된다.
본 발명의 또 다른 양태에 따르면, 주입되는 비활성 가스의 양은 2회의 교대 시퀀스 동안에 동일하고, 진공 펌프에서 가스 혼합물 내의 20% 반응 가스의 농도를 얻도록 계산되고, 진공 펌프에서의 가스 혼합물은 반응 가스 및 주입된 비활성 가스로 구성된다.
본 발명의 다른 특징 및 장점은 비제한적인 표시로서 한가지 가능한 실시예를 나타내는 첨부된 도면과 관련하여 이제 이루어질 설명에서 나타날 것이다.
도 1은 본 발명에 따른 방출 파이프의 제1 실시예의 다이어그램을 도시한다.
도 2는 비활성 가스를 주입하기 위한 제1 수단이 활성화될 때 본 발명에 따른 방출 파이프의 제1 실시예의 다이어그램을 도시한다.
도 3은 본 발명에 따른 방출 파이프의 제2 실시예의 다이어그램을 도시한다.
도 4는 본 발명의 제2 실시예를 위한 파이프의 입구에서의 파이프의 단면도의 다이어그램을 도시한다.
도 5는 비활성 가스를 주입하기 위한 제1 수단이 활성화될 때 본 발명에 따른 방출 파이프의 제2 실시예의 제1 작동 단계의 다이어그램을 도시한다.
도 6은 비활성 가스를 주입하기 위한 제2 수단이 활성화될 때 본 발명에 따른 방출 파이프의 제2 실시예의 제2 작동 단계의 다이어그램을 도시한다.
도 7은 제2 주입 수단이 활성화될 때 비활성 가스의 방향과 파이프의 입구에서의 파이프의 단면도의 다이어그램을 도시한다.
본 발명의 내용에서, 파이프의 "컨덕턴스(conductance)"는 파이프의 상류와 하류의 압력차에 의해 분할된 유동의 지수(quotient)이고, 파이프 내의 유체의 유동의 용이성에 대응한다.
본 발명의 실시예는 반응 가스가 방출 채널들 중 하나로 방출되도록 유도하기 위해, 적어도 2개의 방출 채널을 포함하는 반응기로부터, 예컨대 원자 층 증착 반응기로부터 발생되는 반응 가스를 위한 방출 파이프에서 비활성 가스를 사용하는 것과 관련이 있다.
도 1은 방출 파이프의 제1 실시예를 도시한다.
파이프는 반응기로부터 발생되는 2개의 반응 가스들의 잔여물, 또는 반응 가스의 2개의 다양한 혼합물의 잔여물을 수용하기 위해 반응기의 출구에 연결되도록 설계되는 입구(1)와, 진공 펌프(7 및 9)에 각각 연결되는 2개의 방출 채널(3 및 5)과, 파이프의 입구(1)를 2개의 방출 채널(3 및 5)에 연결하는 중앙 트렁크(11)를 포함한다. 방출 채널은 예컨대 유사한 치수 및 대략 동일한 크기를 갖는 유사한 펌핑 용량을 가짐으로써 컨덕턴스를 획득하도록 만들어진다.
또한, 각각의 방출 채널은 비활성 가스를 주입하기 위한 제1 및 제2 수단(13 및 15)을 각각 포함한다. 이러한 주입 수단(13 및 15)은 예컨대 비활성 가스의 통과를 허용하거나 방지하기 위해 제1 밸브(17) 및 제2 밸브(18)를 포함할 수 있고, 펌핑 방향에 대해 반대인 방향으로(즉 방출 채널에서의 반응 가스의 전파 방향에 대항하여) 선택된 방향으로 비활성 가스를 확산시키기 위해 제1 분사 노즐(19) 및 제2 분사 노즐(20)을 포함할 수 있다.
따라서, 방출 채널들 중 하나에서 비활성 가스(21)의 반대 방향으로의 주입은 도 2에 도시된 바와 같이 반응 가스가 다른 방출 채널을 향하게 하는 것을 가능하게 한다. 제2 가스(G2)가 파이프의 입구(1)에서 수용될 때, 제1 방출 채널(3)의 비활성 가스(21)를 주입하기 위한 제1 수단(13)은, 반응 가스(G2)의 잔여물이 제2 진공 펌프(9)로 전달되기 위해 제2 방출 채널(5)을 향해 이동하게 하도록 [제1 밸브(17)의 개구에 의해] 활성화되고, 제2 진공 펌프(9)는 반응 가스(G2)의 펌핑에 대해 전용화되어 있다. 제2 방출 채널(5)의 비활성 가스(21)를 주입하기 위한 제2 수단(15)은 비활성화인 채로 있다[제2 밸브(18)가 폐쇄됨]. 비활성 가스는 예컨대 질소(N2), 아르곤(Ar) 또는 헬륨(He)일 수 있다.
가스(G1)가 파이프의 입구(1)에서 수용될 때, 제2 방출 채널(5)의 비활성 가스(21)를 주입하기 위한 제2 수단(15)은 활성화되고, 반면에 제1 방출 채널(3)의 비활성 가스(21)를 주입하기 위한 수단(13)은 비활성화된다. 따라서, 가스(G1)는 가스(G1)의 펌핑에 대해 전용화되는 제1 진공 펌프(7)로 향한다.
실제로, 비활성 가스를 주입하기 위한 제1 및 제2 수단(13 및 15)의 활성화가 반응기에 존재하는 반응 가스(G1 또는 G2)에 따라서 교대로 발생하도록, 2개의 가스(G1 및 G2)는 반응기 내로 교대로 주입된다.
또한, 주입되는 비활성 가스(21)의 양은 진공 펌프(7 또는 9)에서 요구되는 반응 가스(G1 또는 G2)의 농도에 따라, 그리고 방출될 반응 가스(G1 또는 G2)의 양에 따라 조절될 수 있다. 유리하게는, 비활성 가스(21)의 양은 반응기 및 파이프 내측의 압력을 변경하지 않도록 2회의 교대 주입 시퀀스 동안에 동일하다. 주입되는 비활성 가스의 양은 진공 펌프에서의 가스 혼합물 중 20% 반응 가스(G1 또는 G2)의 농도를 얻도록 계산되고, 진공 펌프에서의 가스 혼합물은 반응 가스(G1, G2)와 주입된 비활성 가스(21)로 구성된다. 반응 가스(G1 또는 G2)의 반응기의 출구에서의 농도는 100%인 것이 고려된다.
필요하다면, 펌핑 시스템에 따라, 진공 펌프에서의 반응 가스(G1 또는 G2)의 이러한 농도는 1%로 감소할 수 있다.
더욱이, 일 실시예에 따르면, 제1 및 제2 주입 수단(13 및 15)은 이들이 비활성 상태일 때 비활성 가스(21)의 통과를 완전히 막지 않으며, 비활성 가스의 분사 노즐(19 및 20) 상에 침전물 형성을 방지하여 분사 노즐(19 및 20)을 보호하기 위해 적은 양의 비활성 가스(21)가 계속하여 주입되는 대기 모드로 위치된다.
더욱이, 밸브(23 및 25)는 방출 채널(3 및 5)의 출구에서 그리고 진공 펌프(7 및 9)의 입구에서 위치된다. 이러한 밸브(23 및 25)는, 정상 작동에서 영구적으로 개방된 상태로 있고 파이프로부터 결함 있는 진공 펌프를 격리하기 위해 대응하는 진공 펌프의 고장의 경우에 폐쇄되는 자동밸브이다.
더욱이, 단지 2개의 방출 채널만이 도 1 및 도 2에 도시되지만, (더 많은 개수의 반응 가스를 방출하기 위해) 더 많은 개수의 방출 채널을 포함하는 파이프가 제조될 수도 있다. 이런 경우에, 당해의 반응 가스에 전용화되는 진공 펌프에 연결되는 채널을 제외한, 다양한 방출 채널의 비활성 가스를 주입하기 위한 수단은 활성화될 것이고, 따라서 반응 가스가 전용의 진공 펌프를 향해 유도되게 할 것이다.
도 3은 방출 채널의 개수가 2개인 경우의 본 발명의 제2 실시예를 도시한다. 이 제2 실시예에서, 상기에 설명된 파이프의 차이는 중앙 트렁크(27)의 구성과 관련이 있다. 이 구성은 파이프의 입구(1)의 섹션보다 섹션이 작은 제2 방출 채널(5)에 연결되고 중앙 트렁크(27)의 내부 부분(29)을 형성하는 제1 튜브와, 섹션이 파이프의 입구(1)의 섹션에 대응하는 제1 방출 채널(3에 연결되고 중앙 트렁크(27)의 주연 부분(31)을 형성하는 제2 튜브에 상응한다. 내부 부분(29)의 그리고 주연 부분의 섹션들은 각각의 방출 채널(3 및 5)과 파이프의 입구(1) 사이에 대략 동일한 크기를 갖는 컨덕턴스를 획득하기 위해 계산된다.
도 4는 중앙 트렁크(27)의 내부 부분(29) 및 주연 부분(31)이 원형 섹션을 갖는 파이프의 입구(1)에서의 파이프의 단면도를 도시한다.
그럼에도 불구하고, 본 발명은 예컨대 타원형 섹션 또는 심지어 장방형 또는 정방형 섹션과 같은 다양한 형상의 섹션에 또한 적용될 수 있다.
이전 실시예에 대해서, 비활성 가스를 주입하기 위한 제1 및 제2 수단(33 및 35)은, 전용의 진공 펌프(7 또는 9)로 전달되도록 반응기로부터 발생되는 반응 가스(G1 또는 G2)의 잔여물을 방출 채널들 중 하나 또는 다른 하나로 유도하기 위해 사용된다.
주연 부분(31)의 비활성 가스를 주입하기 위한 제1 수단(33)은 파이프의 입구(1)에서 [예컨대 밸브(24)에 의해 제어되는 비활성 가스의 입구에 연결되는 일 세트의 분사 노즐(32)을 이용함으로써] 주연 부분(31)의 주연부 위에 균일하게 분포되어 있다. 비활성 가스를 주입하기 위한 수단(33)은 도 6 및 도 7에 도시된 바와 같이 환형이고 약간 원추형인 제트를 생성하기 위해 파이프의 입구(1)의 중앙을 향해 지향된다. 도 7은 도 4에 도시된 입구(1)에서의 비활성 가스의 주입 방향을 도시한다.
따라서, 중앙 트렁크(27)의 내부 부분(29)에 연결되는 제2 방출 채널(5)에 연결되는 진공 펌프(9)로 보내지는 제2 반응 가스(G2)가 파이프의 입구(1)에서 수용될 때, 주연 부분(31)의 비활성 가스를 주입하기 위한 수단(33)은, 제2 반응 가스(G2)를 중앙 트렁크(27)의 내부 부분(29)을 향하여 그리고 결과적으로 대응 진공 펌프(9)를 향하여 유도하기 위해, 활성화된다[내부 부분(29)의 비활성 가스를 주입하기 위한 수단(35)은 비활성화된 상태로 있다].
중앙 트렁크(27)의 내부 부분(29)의 비활성 가스를 주입하기 위한 제2 수단(35)은 내부 부분(29)의 축에, 그리고 채널의 입구(1)에 대향하는 단부에 위치되고, 도 5에 도시된 바와 같이 입구(1)를 향해 유도된다.
따라서, 중앙 트렁크(27)의 주연 부분(31)에 연결되는 제1 방출 채널(3)에 연결되는 진공 펌프(7)로 보내지는 제1 반응 가스(G1)가 파이프의 입구(1)에서 수용될 때, 비활성 가스를 주입하기 위한 수단(35)은, 반응 가스(G1)가 내부 부분(29)으로 진입하는 것을 방지하고 중앙 트렁크(27)의 주연 부분(31)에 연결되는 방출 채널(3)에 들어가게 하기 위해, 밸브(22)의 개방에 의해 활성화된다[주연 부분(31)의 비활성 가스를 주입하기 위한 수단(33)은 밸브(24)의 폐쇄에 의해 비활성인 상태로 있다].
따라서, 주입된 비활성 가스(21)의 사용은, 반응 가스가 선택된 방출 채널로 진입하는 것을 방지하고 결과적으로 반응 가스와의 상호작용에 의해 마모되게 되는 밸브 또는 다른 기계 요소를 필요로 하지 않으면서 반응 가스에 대해 전용화되는 방출 채널 내로 반응 가스를 유도하는 것을 가능하게 하는 가스 스크린을 구성한다. 따라서, 본 발명의 실시예는 고정되게 되거나 차단될 수 있는 기계 부품의 사용을 회피하여 파이프의 수명을 향상시키면서 그의 유지보수를 감소시키는 것을 가능하게 한다. 더욱이, 하나의 방출 채널로부터 또 다른 방출 채널로의 반응 가스의 방향 변경은 종래 기술의 해결책보다 더 신속하게 수행될 수 있다. 결국, 본 발명의 실시예는 펌핑 시스템의 상류에 반응 가스의 일정한 희석을 허용하여 그의 처리를 더 용이하게 만든다.

Claims (10)

  1. 가스 방출 파이프이며,
    한편으로는 제1 진공 펌프(7) 및 적어도 제2 진공 펌프(9)에 각각 연결되고, 다른 한편으로는 반응기 출구에 연결되도록 설계되는 제1 방출 채널(3) 및 적어도 하나의 제2 방출 채널(5)을 포함하고,
    제1 방출 채널(3) 및 적어도 하나의 제2 방출 채널(5)은 주입 방향이 진공 펌프(7, 9)의 흡입 방향과 반대로 각각 지향되는 비활성 가스(21)를 주입하기 위한, 제1 수단(13; 33) 및 적어도 제2 수단(15; 35)을 포함하는
    가스 방출 파이프.
  2. 제1항에 있어서,
    한편으로는 반응기의 출구에, 그리고 다른 한편으로는 제1 방출 채널(3) 및 적어도 제2 방출 채널(5)에 연통하여 배치되는 중앙 트렁크(11; 27)를 포함하고,
    제1 방출 채널(3) 및 적어도 제2 방출 채널(5)은 대략 동일한 크기의 컨덕턴스를 갖는
    가스 방출 파이프.
  3. 제2항에 있어서,
    방출 채널(3, 5)은 개수가 2개이고,
    중앙 트렁크(27)는 한편으로는 제2 방출 채널(5)과 연통하는 내부 부분(29)을, 그리고 다른 한편으로는 벽에 의해 내부 부분(29)과 분리되고 제1 방출 채널(3)과 연통하는 주연 부분(31)을 포함하는
    가스 방출 파이프.
  4. 제3항에 있어서,
    중앙 트렁크(27)의 내부 부분(29)의, 그리고 주연 부분(31)의 각각의 컨덕턴스는 대략 동일한 크기를 갖는
    가스 방출 파이프.
  5. 제4항에 있어서,
    비활성 가스(21)를 주입하기 위한 제1 주입 수단(33)은 중앙 트렁크(27)의 내부 부분(29)의 축에 위치되고 반응기의 출구를 향하여 지향되며,
    비활성 가스(21)를 주입하기 위한 제2 주입 수단(35)은 중앙 트렁크(27)의 주연 부분(31)의 주연부에 위치되고 실질적으로 중앙 트렁크(27)의 섹션의 중앙을 향하여 지향되는
    가스 방출 파이프.
  6. 반응기로부터 발생되는 제1 반응 가스(G1) 및 적어도 하나의 제2 반응 가스(G2)를, 제1항 내지 제5항 중 어느 한 항에 따른 가스 방출 파이프를 통하여 방출하기 위한 방법이며,
    제1 반응 가스(G1) 및 적어도 하나의 제2 반응 가스(G2)는, 제1 진공 펌프(7)에, 그리고 적어도 하나의 제2 진공 펌프(9)에 각각 연결되는 제1 방출 채널(3) 및 적어도 하나의 제2 방출 채널(5)을 통하여 연이어 방출되고,
    각각의 진공 펌프(7, 9)의 흡입 방향에 반대인 방향으로 실질적으로 비활성 가스(21)를 주입함으로써, 방출 채널들(3, 5) 중 하나를 향하는 반응 가스(G1, G2)의 유동의 지향이 제어되는
    방출 방법.
  7. 제6항에 있어서,
    비활성 가스(21)의 주입은 제1 방출 채널(3) 및 적어도 제2 방출 채널(5) 중 적어도 하나의 입구에서 수행되는
    방출 방법.
  8. 제6항 또는 제7항에 있어서,
    반응기로부터 발생되는 제1 반응 가스(G1) 및 적어도 제2 반응 가스(G2)는 연이어 수용되고,
    제1 진공 펌프(7) 및 적어도 제2 진공 펌프(9)는, 방출될 반응 가스(G2)가 제2 진공 펌프(9)로 보내질 때 비활성 가스(21)가 제1 방출 채널(3)에서 주입되고, 방출될 반응 가스(G1)가 제1 진공 펌프(7)로 보내질 때 비활성 가스(21)가 제2 방출 채널(5)에서 주입되도록, 제1 반응 가스(G1) 및 적어도 제2 반응 가스(G2)에 대해 각각 전용화되는
    방출 방법.
  9. 제8항에 있어서,
    반응기로부터 발생되는 제1 반응 가스(G1) 및 적어도 제2 반응 가스(G2)는, 방출 채널(3, 5)에서의 비활성 가스(21)의 주입이 또한 교대로 수행되도록, 파이프에서 교대로 수용되는
    방출 방법.
  10. 제9항에 있어서,
    주입되는 비활성 가스(21)의 양은 2회의 교대 시퀀스 동안에 동일하고, 진공 펌프에서 가스 혼합물 내의 20% 반응 가스(G1, G2)의 농도를 얻도록 계산되고, 진공 펌프에서의 가스 혼합물은 반응 가스(G1, G2) 및 주입된 비활성 가스(21)로 구성되는
    방출 방법.
KR1020110102354A 2010-10-08 2011-10-07 가스 방출 파이프 및 관련 방법 KR20120036772A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR10/03987 2010-10-08
FR1003987A FR2965888B1 (fr) 2010-10-08 2010-10-08 Canalisation d'evacuation de gaz et procede d'evacuation associe

Publications (1)

Publication Number Publication Date
KR20120036772A true KR20120036772A (ko) 2012-04-18

Family

ID=43877088

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110102354A KR20120036772A (ko) 2010-10-08 2011-10-07 가스 방출 파이프 및 관련 방법

Country Status (6)

Country Link
US (1) US20120088031A1 (ko)
KR (1) KR20120036772A (ko)
CN (1) CN102443780A (ko)
FR (1) FR2965888B1 (ko)
SG (1) SG180099A1 (ko)
TW (1) TW201237211A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180023298A (ko) * 2016-08-25 2018-03-07 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103613060B (zh) * 2013-12-16 2016-08-17 羊宸机械(上海)有限公司 真空绝热式超低温介质船用输送装置
WO2015105818A1 (en) * 2014-01-09 2015-07-16 United Technologies Corporation Coating process using gas screen
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103966663A (zh) * 2014-05-12 2014-08-06 上海先进半导体制造股份有限公司 半导体设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180023298A (ko) * 2016-08-25 2018-03-07 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법

Also Published As

Publication number Publication date
FR2965888B1 (fr) 2012-12-28
TW201237211A (en) 2012-09-16
CN102443780A (zh) 2012-05-09
US20120088031A1 (en) 2012-04-12
SG180099A1 (en) 2012-05-30
FR2965888A1 (fr) 2012-04-13

Similar Documents

Publication Publication Date Title
KR20120036772A (ko) 가스 방출 파이프 및 관련 방법
JP7254762B2 (ja) 垂直炉用のライナーおよびフランジ組立品ならびにライナーおよび垂直炉
US20150303035A1 (en) Systems and methods for providing gases to a process chamber
US9637821B2 (en) Method for supplying vaporized precursor
EP2530332B1 (en) Centrifugal compressor and cleaning method
KR20180023298A (ko) 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
TWI553148B (zh) 氣流處理裝置
KR101638454B1 (ko) 배출가속기 및 이를 구비한 로드 포트
KR101430062B1 (ko) 유체 가속형 진공배관 장치
KR20150048330A (ko) 유체 가속 장치
KR101938279B1 (ko) 파우더 프로텍팅 다방향 밸브
KR20120102393A (ko) 혼합유도체를 구비한 질소가스 이젝터장치
KR101599200B1 (ko) 유체가속장치
EP2586890A1 (fr) Canalisation d'évacuation de gaz et procédé d'évacuation associé
KR20220111210A (ko) 배관 막힘 방지장치
KR20230129199A (ko) 배관 막힘 방지장치
KR20130106674A (ko) 원자층 박막 증착 장치
KR102296006B1 (ko) 배관 가스 공급 장치 및 이를 포함하는 반도체 제조 장치
KR101470476B1 (ko) 샤워헤드에 잔류하는 반응기체를 반응실 외부로 배출시킬 수 있는 화학기상증착 장치 및 방법
CN219297639U (zh) 进气装置以及等离子体设备
KR102044414B1 (ko) 외측으로 확장된 대용량 우회유로를 갖는 질소가스 파워 이젝션장치
KR100941073B1 (ko) 탑 노즐 및 기판 처리 장치
KR102098312B1 (ko) 배출 효율 개선 구조의 공정 챔버 배출 장치
KR101923468B1 (ko) 클린 윈도우 장치 및 클린 윈도우를 갖는 장치
KR101884767B1 (ko) 퍼지 기능의 기판 출입구를 구비한 기판 처리 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid