KR20100124210A - 반도체 장치의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20100124210A
KR20100124210A KR1020100044225A KR20100044225A KR20100124210A KR 20100124210 A KR20100124210 A KR 20100124210A KR 1020100044225 A KR1020100044225 A KR 1020100044225A KR 20100044225 A KR20100044225 A KR 20100044225A KR 20100124210 A KR20100124210 A KR 20100124210A
Authority
KR
South Korea
Prior art keywords
gas
processing chamber
film
dielectric constant
chamber
Prior art date
Application number
KR1020100044225A
Other languages
English (en)
Other versions
KR101177366B1 (ko
Inventor
아리토 오가와
사다요시 호리이
히데하루 이타타니
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20100124210A publication Critical patent/KR20100124210A/ko
Application granted granted Critical
Publication of KR101177366B1 publication Critical patent/KR101177366B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 처리실 내에 제1 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 기판 상에 제1 고유전율 절연막을 형성하는 공정과, 처리실 내에 원료를 공급하여 배기하는 공정과, 처리실 내에 제1 산화원과는 다른 제2 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정을 포함한다. 본 발명에 따르면, 고유전율 절연막의 하지가 되는 금속막의 산화를 억제하고, 성막 처리의 생산성을 향상시키는 것이 가능하다.

Description

반도체 장치의 제조 방법 및 기판 처리 장치{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS}
본 발명은 반도체 장치의 제조 방법 및 기판 처리 장치에 관한 것이다.
MOSFET(Metal-Oxide-Semiconductor Field Effect Transistor)의 고집적화 및 고성능화에 따라, 게이트 절연막으로의 고(高)유전율 절연막의 채용이 검토되고 있다. 또한, DRAM의 캐패시터(capacitor)에 있어서는, 비(比)유전률이 예를 들면 15~20 정도인 HfO2막이나 ZrO2막 등의 고유전율 절연막이 사용되고 있다. HfO2막이나 ZrO2막은, 처리실 내에 수용된 기판을 예를 들면 200℃ 이상의 처리 온도로 가열하면서, 상기 처리실 내에 Hf나 Zr를 포함하는 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 O3나 H2O 등의 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써 형성된다.
그러나, 산화원으로서 O3를 이용했을 경우, 고유전율 절연막의 하지(下地)가 되는 TiN막 등의 금속막도 산화되어버리고, 금속막의 전기 특성이 열화(劣化)해버리는 경우가 있었다. 또한, 산화원으로서 H2O를 이용했을 경우, 처리실 내로부터의 H2O의 배출에 시간을 필요로 하고, 성막 처리의 생산성이 저하되어버리는 경우가 있었다. 또한, 산화원으로서 H2O를 이용했을 경우, 산화원으로서 O3를 이용했을 경우와 비교하여, 고유전율 절연막의 전기 특성이 열화해버리는 경우가 있었다.
본 발명은 고유전율 절연막의 하지가 되는 금속막의 산화를 억제하고, 성막 처리의 생산성을 향상시키는 것이 가능한 반도체 장치의 제조 방법 및 기판 처리 장치를 제공하는 것을 목적으로 한다.
본 발명의 일 형태에 의하면,
기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 제1 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하여 배기하는 공정을, 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 H2O를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 O3를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 처리실과,
상기 처리실 내에 원료를 공급하는 원료 공급계와,
상기 처리실 내에 제1 산화원을 공급하는 제1 산화원 공급계와,
상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하는 제2 산화원 공급계와,
상기 처리실 내를 배기하는 배기계와,
기판을 수용한 상기 처리실 내로의 원료의 공급 및 배기와, 상기 처리실 내로의 제1 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하고,
상기 처리실 내로의 상기 원료의 공급 및 배기와, 상기 처리실 내로의 상기 제2 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하도록, 상기 원료 공급계, 상기 제1 산화원 공급계, 상기 제2 산화원 공급계 및 상기 배기계를 제어하는 컨트롤러를 포함하는 기판 처리 장치가 제공된다.
본 발명에 따른 반도체 장치의 제조 방법 및 기판 처리 장치에 의하면, 고유전율 절연막의 하지가 되는 금속막의 산화를 억제하고, 성막 처리의 생산성을 향상시키는 것이 가능하게 된다.
도 1은 본 발명의 일 실시예에 따른 클러스터(cluster) 장치의 제1 처리 유닛(고유전율 절연막 형성 유닛)의 가스 공급계의 구성도이다.
도 2는 본 발명의 일 실시예에 따른 클러스터 장치의 개략 구성도이다.
도 3은 본 발명의 일실시예에 따른 클러스터 장치의 제1 처리 유닛(고유전율 절연막 형성 유닛)의 웨이퍼 처리 시에 있어서의 단면 구성도이다.
도 4는 본 발명의 일 실시예에 따른 클러스터 장치의 제1 처리 유닛(고유전율 절연막 형성 유닛)의 웨이퍼 반송 시에 있어서의 단면 구성도이다.
도 5는 본 발명의 일 실시예에 따른 클러스터 장치의 제2 처리 유닛(열처리 유닛)의 단면 구성도이다.
도 6은 본 발명의 일 실시예에 따른 기판 처리 공정의 플로우도이다.
도 7은 본 발명의 다른 실시예에 따른 종형 장치의 종형(縱型) 처리로의 개략 구성도이며, (a)는 처리로 부분을 종단면에서 나타내고, (b)는 처리로 부분을 (a)의 A-A선 단면도로 나타낸다.
도 8은 본 발명의 실시예에 따른 성막 샘플의 단면 개략도이다.
이하, 본 발명의 일 실시예를 도면에 따라 설명한다.
(1) 기판 처리 장치의 구성
우선, 본 발명의 일 실시예에 따른 기판 처리 장치에 대해 설명한다.
본 실시예에 따른 기판 처리 장치는, 도 2에 나타나 있는 바와 같이 클러스터 장치로서 구성되어 있다. 한편, 본 실시예에 따른 클러스터 장치에 있어서는, 웨이퍼(2)를 반송하는 웨이퍼 반송용 캐리어(기판 수납 용기)로서는, FOUP(Front Opening Unified Pod. 이하, 포드라고 함)(1)이 사용되고 있다.
<클러스터 장치>
도 2에 나타나 있는 바와 같이, 클러스터 장치(10)는 대기압 미만의 압력(부압)에 견딜 수 있는 구조로 구성된 트랜스퍼 모듈(반송실)로서의 제1 웨이퍼 이재실(이하, 부압 이재실이라고 함)(11)을 구비하고 있고, 부압 이재실(11)의 광체(筐體)(이하, 부압 이재실 광체라고 함)(12)는, 평면도가 칠각형으로 상하 양단(兩端)이 폐색한 상자 형상으로 형성되어 있다. 부압 이재실 광체(12)는 반송 용기(밀폐 용기)로서 구성되어 있다. 부압 이재실(11)의 중앙부에는 부압 하에 있어서 웨이퍼(2)를 이재하는 반송 로봇으로서의 웨이퍼 이재기(이하, 부압 이재기라고 함)(13)가 설치되어 있다.
부압 이재실 광체(12)의 7 매의 측벽 중 가장 큰 측벽(정면벽)에는, 로드록 모듈(로드록실)로서의 반입용 예비실(이하, 반입실이라고 함)(14)과 반출용 예비실(이하, 반출실이라고 함)(15)이 각각 인접하여 연결되어 있다. 반입실(14)의 광체와 반출실(15)의 광체는, 각각 평면도가 실질적으로 마름모 형태로 상하 양단이 폐색한 상자 형상으로 형성되어 있음과 동시에, 부압에 견딜 수 있는 로드록 챔버 구조로 구성되어 있다.
반입실(14) 및 반출실(15)의 부압 이재실(11)과 반대측에는, 대기압 이상의 압력(이하, 정압이라고 함)을 유지 가능한 구조로 구성된 프론트 엔드 모듈로서의 제2 웨이퍼 이재실(이하, 정압 이재실이라고 함)(16)이 인접하여 연결되어 있고, 정압 이재실(16)의 광체는 평면도가, 가로로 긴 직사각형으로 상하 양단이 폐색한 상자 형상으로 형성되어 있다. 반입실(14)과 정압 이재실(16)과의 경계에는 게이트 밸브(17A)가 설치되어 있고, 반입실(14)과 부압 이재실(11)과의 사이에는 게이트 밸브(17B)가 설치되어 있다. 반출실(15)과 정압 이재실(16)과의 경계에는 게이트 밸브(18A)가 설치되어 있고, 반출실(15)과 부압 이재실(11)과의 사이에는 게이트 밸브(18B)가 설치되어 있다. 정압 이재실(16)에는 정압 하에서 웨이퍼(2)를 이재하는 반송 로봇으로서의 제2 웨이퍼 이재기(이하, 정압 이재기라고 함)(19)가 설치되어 있다. 정압 이재기(19)는 정압 이재실(16)에 설치된 엘리베이터에 의해 승강되도록 구성되어 있음과 동시에, 리니어 액추에이터(linear actuator)에 의해 좌우 방향으로 왕복 이동되도록 구성되어 있다. 정압 이재실(16)의 좌측 단부에는 노치(notch) 맞춤 장치(20)가 설치되어 있다.
정압 이재실(16)의 정면벽에는 3 개의 웨이퍼 반입 반출구(21, 22, 23)가, 서로 이웃하여 정렬되어 개설(開設)되어 있고, 이들 웨이퍼 반입 반출구(21, 22, 23)는 웨이퍼(2)를 정압 이재실(16)에 대해서 반입 반출할 수 있도록 구성되어 있다. 이들의 웨이퍼 반입 반출구(21, 22, 23)에는 포드 오프너(24)가 각각 설치되어 있다. 포드 오프너(24)는 포드(1)를 재치(載置)하는 재치대(25)와, 재치대(25) 상에 재치된 포드(1)의 캡을 착탈(着脫)하는 캡 착탈 기구(26)를 구비하고 있고, 재치대(25) 상에 재치된 포드(1)의 캡을 캡 착탈 기구(26)에 의해 착탈함으로써, 포드(1)의 웨이퍼 출입구를 개폐하도록 되어 있다. 포드 오프너(24)의 재치대(25)에 대해서는 포드(1)가, 공정 내 반송 장치(RGV)에 의해 공급 및 배출되도록 되어 있다.
도 2에 나타나 있는 바와 같이, 부압 이재실 광체(12)의 7 매의 측벽 중 정압 이재실(16)과 반대측에 위치하는 2 매의 측벽(배면벽)에는, 프로세스 모듈로서의 제1 처리 유닛(고유전율 절연막 형성 유닛)(31)과 제2 처리 유닛(열처리 유닛)(32)이 각각 인접하여 연결되어 있다. 제1 처리 유닛(31)과 부압 이재실(11)과의 사이에는 게이트 밸브(44)가 설치되어 있다. 제2 처리 유닛(32)과 부압 이재실(11)과의 사이에는 게이트 밸브(118)가 설치되어 있다. 또한, 부압 이재실 광체(12)에 있어서의 7 매의 측벽 중 정압 이재실(16)측의 다른 2 매의 측벽에는, 쿨링 스테이지(cooling stage)로서의 제1 쿨링 유닛(35)과 제2 쿨링 유닛(36)이 각각 연결되어 있고, 이들 모두는 처리 완료된 웨이퍼(2)를 냉각하는 냉각실로서 구성되어 있다.
클러스터 장치(10)는 후술하는 기판 처리 플로우를 통괄적으로 제어하는 메인 컨트롤러(37)를 구비하고 있다. 한편, 메인 컨트롤러(37)는, 클러스터 장치(10)를 구성하는 각 부의 동작을 제어한다.
<제1 처리 유닛>
다음에, 본 실시예에 따른 클러스터 장치에 있어서의 제1 처리 유닛(31)에 대해 설명한다. 제1 처리 유닛(31)은, 고유전율 절연막 형성 유닛이며, 도 3, 4에 나타나 있는 바와 같이, 매엽식(枚葉式) 콜드 월(cold wall)형의 기판 처리 장치로서 구성되어 있고, 기능적으로는 ALD(Atomic Layer Deposition) 장치(이하, 성막 장치라고 함)(40)로서 구성되어 있다. 이하, 성막 장치(40)의 구성에 대해, 도 3, 4를 참조하면서 설명한다. 도 3은 웨이퍼 처리 시에 있어서의 성막 장치(40)의 단면 구성도이며, 도 4는 웨이퍼 반송 시에 있어서의 성막 장치(40)의 단면 구성도이다.
[처리실]
도 3, 4에 나타내는 바와 같이, 성막 장치(40)는 처리 용기(202)를 구비하고 있다. 처리 용기(202)는, 예를 들면 횡단면이 원형이며 편평(扁平)한 밀폐 용기로서 구성되어 있다. 또한, 처리 용기(202)는 예를 들면 알루미늄(Al)이나 스테인리스(SUS) 등 금속 재료에 의해 구성되어 있다. 처리 용기(202) 내에는, 기판으로서의 웨이퍼(2)를 처리하는 처리실[(201)]이 형성되어 있다.
[지지대]
처리실(201) 내에는, 웨이퍼(2)를 지지하는 지지대(203)가 설치되어 있다. 웨이퍼(2)가 직접 닿는 지지대(203)의 상면에는, 예를 들면, 석영(SiO2), 카본(carbon), 세라믹스, 탄화 규소(SiC), 산화 알류미늄(Al2O3), 또는 질화 알루미늄(AlN) 등으로 구성된 지지판으로서의 서셉터(susceptor, 217)가 설치되어 있다. 또한, 지지대(203)에는 웨이퍼(2)를 가열하는 가열 수단(가열원)으로서의 히터(206)가 내장되어 있다. 한편, 지지대(203)의 하단부는, 처리 용기(202)의 저부(底部)를 관통하고 있다.
처리실(201)의 외부에는, 지지대(203)를 승강시키는 승강 기구(207b)가 설치되어 있다. 이 승강 기구(207b)를 작동시켜 지지대(203)를 승강시킴으로써, 서셉터(217) 상에 지지되는 웨이퍼(2)를 승강시키는 것이 가능하게 되어 있다. 지지대(203)는, 웨이퍼(2)의 반송 시에는 도 4에 나타내는 위치(웨이퍼 반송 위치)까지 하강하고, 웨이퍼(2)의 처리 시에는 도 3에 나타내는 위치(웨이퍼 처리 위치)까지 상승한다. 한편, 지지대(203)의 하단부의 주위는, 벨로우즈(bellows, 203a)에 의해 덮여 있고, 처리실(201) 내는 기밀(氣密)하게 보지(保持)되어 있다.
또한, 처리실(201)의 저면(마루면)에는, 예를 들면 3 개의 리프트 핀(208b)이 연직 방향으로 입상(立上)하도록 설치되어 있다. 또한, 지지대(203)[서셉터(217)도 포함함]에는, 이러한 리프트 핀(208b)을 관통시키는 관통공(208a)이, 리프트 핀(208b)에 대응하는 위치에 각각 설치되어 있다. 그리고, 지지대(203)를 웨이퍼 반송 위치까지 하강시켰을 때에는, 도 4에 나타내는 바와 같이, 리프트 핀(208b)의 상단부가 서셉터(217)의 상면으로부터 돌출하여, 리프트 핀(208b)이 웨이퍼(2)를 하방으로부터 지지하도록 되어 있다. 또한, 지지대(203)를 웨이퍼 처리 위치까지 상승시켰을 때에는, 도 3에 나타내는 바와 같이, 리프트 핀(208b)은 서셉터(217)의 상면으로부터 매몰(埋沒)하여, 서셉터(217)가 웨이퍼(2)를 하방으로부터 지지하도록 되어 있다. 한편, 리프트 핀(208b)은 웨이퍼(2)와 직접 닿기 때문에, 예를 들면, 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다.
처리실(201)[처리 용기(202)]의 내벽 측면에는, 처리실(201)의 내외로 웨이퍼(2)를 반송하는 웨이퍼 반송구(250)가 설치되어 있다. 웨이퍼 반송구(250)에는 상술한 게이트 밸브(44)가 설치되어 있고, 게이트 밸브(44)를 개방함으로써, 처리실(201) 내와 상술한 부압 이재실(11) 내부가 연통하도록 되어 있다. 부압 이재실(11) 내에는 상술한 부압 이재기(13)가 설치되어 있고, 부압 이재기(13)에는 웨이퍼(2)를 반송할 때 웨이퍼(2)를 지지하는 반송 암(13a)이 구비되어 있다. 지지대(203)를 웨이퍼 반송 위치까지 하강시킨 상태에서, 게이트 밸브(44)를 개방함으로써, 부압 이재기(13)에 의해 처리실(201) 내와 부압 이재실(11) 내와의 사이에 웨이퍼(2)를 반송하는 것이 가능하게 되어 있다. 처리실(201) 내에 반송된 웨이퍼(2)는, 상술한 바와 같이 리프트 핀(208b) 상에 일시적으로 재치된다.
[배기계]
처리실(201)[처리 용기(202)]의 내벽 측면으로서, 웨이퍼 반송구(250)의 반대측에는, 처리실(201) 내의 분위기를 배기하는 배기구(260)가 설치되어 있다. 배기구(260)에는, 배기 챔버(260a)를 개재하여 배기관(261)이 접속되어 있다. 배기관(261)에는, 처리실(201) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 등의 압력 조정기(262), 원료 회수 트랩(263) 및 진공 펌프(264)가 차례로 직렬로 접속되어 있다. 주로, 배기구(260), 배기 챔버(260a), 배기관(261), 압력 조정기(262), 원료 회수 트랩(263), 진공 펌프(264)에 의해 배기계(배기 라인)가 구성된다.
[가스 도입구]
처리실(201)의 상부에 설치되는 후술하는 샤워 헤드(240)의 상면(천정벽)에는, 처리실(201) 내에 각종 가스를 공급하는 가스 도입구(210)가 설치되어 있다. 한편, 가스 도입구(210)에 접속되는 가스 공급계의 구성에 대해서는 후술한다.
[샤워 헤드]
가스 도입구(210)와, 웨이퍼 처리 위치에 있어서의 웨이퍼(2)와의 사이에는, 가스 분산 기구로서의 샤워 헤드(240)가 설치되어 있다. 샤워 헤드(240)는 가스 도입구(210)로부터 도입되는 가스를 분산시키는 분산판(分散板, 240a)과, 분산판(240a)을 통과한 가스를 더욱 균일하게 분산시켜 지지대(203) 상의 웨이퍼(2)의 표면에 공급하는 샤워판(240b)을 구비하고 있다. 분산판(240a) 및 샤워판(240b)에는 복수의 통기공(通氣孔)이 설치되어 있다. 분산판(240a)은 샤워 헤드(240)의 상면 및 샤워판(240b)과 대향하도록 배치되어 있고, 샤워판(240b)은 지지대(203) 상의 웨이퍼(2)와 대향하도록 배치되어 있다. 한편, 샤워 헤드(240)의 상면과 분산판(240a)과의 사이 및 분산판(240a)과 샤워판(240b)과의 사이에는, 각각 공간이 설치되어 있고, 이러한 공간은 가스 도입구(210)로부터 공급되는 가스를 분산시키는 제1 버퍼 공간(분산실)(240c) 및 분산판(240a)을 통과한 가스를 확산시키는 제2 버퍼 공간(240d)으로서 각각 기능한다.
[배기 덕트]
처리실(201)의 내벽 측면에는, 단차부(段差部, 201a)가 설치되어 있다. 그리고, 이 단차부(201a)는, 컨덕턴스 플레이트(conductance plate, 204)를 웨이퍼 처리 위치 근방에 보지하도록 구성되어 있다. 컨덕턴스 플레이트(204)는 내주부에 웨이퍼(2)를 수용하는 구멍이 설치된 1 매의 도우넛 형상(링 상태)을 한 원판(圓板)으로서 구성되어 있다. 컨덕턴스 플레이트(204)의 외주부에는, 소정 간격을 두고 주방향(周方向)으로 배열된 복수의 배출구(204a)가 설치되어 있다. 배출구(204a)는, 컨덕턴스 플레이트(204)의 외주부가 컨덕턴스 플레이트(204)의 내주부를 지지할 수 있도록, 불연속(不連續)으로 형성된다.
한편, 지지대(203)의 외주부에는, 하부 플레이트(lower plate, 205)가 계지(係止)하고 있다. 하부 플레이트(205)는, 링 형상의 오목부(205b)와, 오목부(205b)의 내측 상부에 일체적으로 설치된 플랜지부(205a)를 구비하고 있다. 오목부(205b)는 지지대(203)의 외주부와, 처리실(201)의 내벽 측면과의 극간(隙間)을 막도록 설치되어 있다. 오목부(205b)의 저부 중 배기구(260) 부근의 일부에는, 오목부(205b) 내로부터 배기구(260)측으로 가스를 배출(유통)시키는 플레이트 배기구(205c)가 설치되어 있다. 플랜지부(205a)는, 지지대(203)의 상부 외주연(外周緣) 상에 계지하는 계지부로서 기능한다. 플랜지부(205a)가 지지대(203)의 상부 외주연 상에 계지함으로써, 하부 플레이트(205)가, 지지대(203)의 승강에 따라, 지지대(203)와 함께 승강되도록 되어 있다.
지지대(203)가 웨이퍼 처리 위치까지 상승했을 때, 하부 플레이트(205)도 웨이퍼 처리 위치까지 상승한다. 그 결과, 웨이퍼 처리 위치 근방에 보지되어 있는 컨덕턴스 플레이트(204)가, 하부 플레이트(205)의 오목부(205b)의 상면 부분을 막고, 오목부(205b)의 내부를 가스 유로 영역으로 하는 배기 덕트(259)가 형성되게 된다. 이 때, 배기 덕트(259)[컨덕턴스 플레이트(204) 및 하부 플레이트(205)] 및 지지대(203)에 의해, 처리실(201) 내부가, 배기 덕트(259)보다 상방의 처리실 상부와, 배기 덕트(259)보다 하방의 처리실 하부로 구분되게 된다. 한편, 컨덕턴스 플레이트(204) 및 하부 플레이트(205)는, 배기 덕트(259)의 내벽에 퇴적하는 반응 생성물을 에칭하는 경우(셀프 클리닝 하는 경우)를 고려하여, 고온(高溫) 보지가 가능한 재료, 예를 들면, 내(耐)고온 고(高)부하용 석영으로 구성하는 것이 바람직하다.
여기서, 웨이퍼 처리 시에 있어서의 처리실(201) 내의 가스의 흐름에 대해 설명한다. 우선, 가스 도입구(210)로부터 샤워 헤드(240)의 상부로 공급된 가스는, 제1 버퍼 공간(240c)을 거쳐 분산판(240a)의 다수의 구멍으로부터 제2 버퍼 공간(240d)으로 들어가고, 더욱이 샤워판(240b)의 다수의 구멍을 통과하여 처리실(201) 내에 공급되며, 웨이퍼(2) 상에 균일하게 공급된다. 그리고, 웨이퍼(2) 상에 공급된 가스는, 웨이퍼(2)의 직경방향 외측을 향해 방사(放射) 형상으로 흐른다. 그리고, 웨이퍼(2)에 접촉한 후의 잉여된 가스는, 웨이퍼(2)의 외주부에 위치하는 배기 덕트(259) 상, 즉 컨덕턴스 플레이트(204) 상을, 웨이퍼(2)의 직경방향 외측을 향해 방사 형상으로 흘러, 컨덕턴스 플레이트(204)에 설치된 배출구(204a)로부터, 배기 덕트(259) 내의 가스 유로 영역 내[오목부(205b) 내]로 배출된다. 그 후, 가스는 배기 덕트(259) 내를 흘러, 플레이트 배기구(205c)를 경유하여 배기구(260)로 배기된다. 이와 같이 가스를 흘림으로써, 처리실(201) 하부, 즉 지지대(203)의 이면(裏面)이나 처리실(201)의 저면측으로 가스가 돌아들어가는 것이 억제된다.
이어서, 상술한 가스 도입구(210)에 접속되는 가스 공급계의 구성에 대해, 도 1을 참조하면서 설명한다. 도 1은 본 실시예에 따른 성막 장치(40)가 갖는 가스 공급계(가스 공급 라인)의 구성도이다.
[원료 공급계]
처리실(201)의 외부에는, 액체 원료로서의 Hf(하프늄)를 포함하는 유기 금속 액체 원료(이하, Hf 원료라고도 함)를 공급하는 액체 원료 공급원(220h)이 설치되어 있다. 액체 원료 공급원(220h)은, 내부에 액체 원료를 수용[충전(充塡)] 가능한 탱크(밀폐 용기)로서 구성되어 있다.
여기서, 액체 원료 공급원(220h)에는, 압송 가스 공급관(237h)이 접속되어 있다. 압송 가스 공급관(237h)의 상류측 단부(端部)에는, 도시하지 않은 압송 가스 공급원이 접속되어 있다.
또한, 압송 가스 공급관(237h)의 하류측 단부는, 액체 원료 공급원(220h) 내의 상부에 존재하는 공간에 연통(連通)하고 있고, 이 공간 내에 압송 가스를 공급하도록 되어 있다. 한편, 압송 가스로서는, 액체 원료와는 반응하지 않는 가스를 이용하는 것이 바람직하고, 예를 들면 N2 가스 등의 불활성 가스가 적합하게 이용된다.
또한, 액체 원료 공급원(220h)에는, 액체 원료 공급관(211h)이 접속되어 있다. 여기서, 액체 원료 공급관(211h)의 상류측 단부는, 액체 원료 공급원(220h) 내에 수용한 액체 원료 내에 잠겨 있다. 또한, 액체 원료 공급관(211h)의 하류측 단부는, 액체 원료를 기화시키는 기화부로서의 기화기(229h)에 접속되어 있다. 한편, 액체 원료 공급관(211h)에는, 액체 원료의 공급 유량을 제어하는 유량 제어기로서의 액체 유량 컨트롤러(LMFC)(221h)와, 액체 원료의 공급을 제어하는 밸브(vh1)가 설치되어 있다. 한편, 밸브(vh1)는 기화기(229h)의 내부에 설치되어 있다.
상기 구성에 있어서, 밸브(vh1)를 개방함과 동시에, 압송 가스 공급관(237h)으로부터 압송 가스를 공급함으로써, 액체 원료 공급원(220h)으로부터 기화기(229h)로 액체 원료를 압송(공급)하는 것이 가능하게 된다. 주로, 액체 원료 공급원(220h), 압송 가스 공급관(237h), 액체 원료 공급관(211h), 액체 유량 컨트롤러(221h), 밸브(vh1)에 의해 액체 원료 공급계(액체 원료 공급 라인)가 구성된다.
기화기(229h)는, 액체 원료를 히터(23h)로 가열하고 기화시켜 원료 가스를 발생시키는 기화실(20h)과, 이 기화실(20h) 내로 액체 원료를 토출(吐出)하는 유로인 액체 원료 유로(21h)와, 액체 원료의 기화실(20h) 내로의 공급을 제어하는 상술한 밸브(vh1)와 기화실(20h) 내에서 발생시킨 원료 가스를 후술하는 원료 가스 공급관(213h)으로 공급하는 아웃렛(outlet, 22h)을 포함하고 있다. 상술한 액체 원료 공급관(211h)의 하류측 단부는, 밸브(vh1)를 개재하여 액체 원료 유로(21h)의 상류측 단부에 접속되어 있다. 액체 원료 유로(21h)에는, 캐리어 가스 공급관(24h)의 하류측 단부가 접속되어 있고, 캐리어 가스 공급관(24h)으로부터의 캐리어 가스가, 액체 원료 유로(21h)를 개재하여 기화실(20h) 내에 공급되도록 구성되어 있다. 캐리어 가스 공급관(24h)의 상류측 단부에는, 캐리어 가스로서의 N2 가스를 공급하는 N2 가스 공급원(230c)이 접속되어 있다. 캐리어 가스 공급관(24h)에는, N2 가스의 공급 유량을 제어하는 유량 제어기로서의 유량 컨트롤러(MFC)(225h)와, N2 가스의 공급을 제어하는 밸브(vh2)가 설치되어 있다.
상기의 기화기(氣化器, 229h)의 아웃렛(22h)에는, 처리실(201) 내에 원료 가스를 공급하는 원료 가스 공급관(213h)의 상류측 단부가 접속되어 있다. 원료 가스 공급관(213h)의 하류측 단부는 합류 배관(213)을 개재하여, 가스 도입구(210)에 접속되어 있다. 한편, 원료 가스 공급관(213h)에는, 처리실(201) 내로의 원료 가스의 공급을 제어하는 밸브(vh3)가 설치되어 있다.
상기 구성에 있어서, 기화기(229h)에서 액체 원료를 기화시켜 원료 가스를 발생시킴과 동시에, 밸브(vh3)를 개방함으로써, 원료 가스 공급관(213h)으로부터 합류 배관(213)을 개재하여 처리실(201) 내로 원료 가스를 공급하는 것이 가능하게 된다. 주로, 원료 가스 공급관(213h), 밸브(vh3)에 의해, 원료 가스 공급계(원료 가스 공급 라인)가 구성된다. 또한, 액체 원료 공급계, 기화부, 원료 가스 공급계에 의해 원료 공급계(Hf 원료 공급계)가 구성된다.
[제1 산화원 공급계]
처리실(201)의 외부에는, 제1 산화원(산화제)으로서의 H2O 가스를 공급하는 H2O 가스 공급원(230s)이 설치되어 있다. H2O 가스 공급원(230s)에는, H2O 가스 공급관(213s)의 상류측 단부가 접속되어 있다. H2O 가스 공급관(213s)의 하류측 단부는, 합류 배관(213)에 접속되어 있다. 즉, H2O 가스 공급관(213s)은, H2O 가스를 처리실(201) 내에 공급하도록 구성되어 있다. 한편 H2O 가스 공급관(213s)에는, H2O 가스의 공급 유량을 제어하는 유량 제어기로서의 유량 컨트롤러(221s)와, 처리실(201) 내로의 H2O 가스의 공급을 제어하는 밸브(vs3)가 설치되어 있다. 주로, H2O 가스 공급원(230s), H2O 가스 공급관(213s), 유량 컨트롤러(221s), 밸브(vs3)에 의해 제1 산화원 공급계(H2O 공급계)가 구성된다.
[제2 산화원 공급계]
또한, 처리실(201)의 외부에는, 제2 산화원(산화제)으로서의 오존 가스(O3)의 근원이 되는 산소 가스(O2)를 공급하는 O2 가스 공급원(230o)이 설치되어 있다. O2 가스 공급원(230o)에는, O2 가스 공급관(211o)의 상류측 단부가 접속되어 있다. O2 가스 공급관(211o)의 하류측 단부에는, 플라즈마에 의해 O2 가스로부터 제2 산화원으로서의 O3 가스를 생성시키는 오조나이저(ozonizer, 229o)가 접속되어 있다. 한편, O2 가스 공급관(211o)에는, O2 가스의 공급 유량을 제어하는 유량 제어기로서의 유량 컨트롤러(221o)가 설치되어 있다.
오조나이저(229o)의 아웃렛(22o)에는, O3 가스 공급관(213o)의 상류측 단부가 접속되어 있다. 또한, O3 가스 공급관(213o)의 하류측 단부는, 합류 배관(213)에 접속되어 있다. 즉, O3 가스 공급관(213o)은, O3 가스를 처리실(201) 내에 공급하도록 구성되어 있다. 한편 O3 가스 공급관(213o)에는, 처리실(201) 내로의 O3 가스의 공급을 제어하는 밸브(vo3)가 설치되어 있다.
한편, O2 가스 공급관(211o)의 유량 컨트롤러(221o)보다 상류측에는, O2 가스 공급관(212o)의 상류측 단부가 접속되어 있다. 또한, O2 가스 공급관(212o)의 하류측 단부는, O3 가스 공급관(213o)의 밸브(vo3)보다 상류측에 접속되어 있다. 한편, O2 가스 공급관(212o)에는, O2 가스의 공급 유량을 제어하는 유량 제어기로서의 유량 컨트롤러(222o)가 설치되어 있다.
상기 구성에 있어서, 오조나이저(229o)에 O2 가스를 공급하여 O3 가스를 발생시킴과 동시에, 밸브(vo3)를 개방함으로써, 처리실(201) 내로 O3 가스를 공급하는 것이 가능하게 된다. 한편, 처리실(201) 내로의 O3가스의 공급 중에, O2 가스 공급관(212o)으로부터 O2 가스를 공급하도록 하면, 처리실(201) 내로 공급하는 O3 가스를 O2 가스에 의해 희석하여, O3 가스 농도를 조정하는 것이 가능하게 된다. 주로, O2 가스 공급원(230o), O2 가스 공급관(211o), 오조나이저(229o), 유량 컨트롤러(221o), O3 가스 공급관(213o), 밸브(vo3), O2 가스 공급관(212o), 유량 컨트롤러(222o)에 의해 제2 산화원 공급계(O3 공급계)가 구성된다.
[퍼지 가스 공급계]
또한, 처리실(201)의 외부에는, 퍼지 가스로서의 N2 가스를 공급하는 N2 가스 공급원(230p)이 설치되어 있다. N2 가스 공급원(230p)에는, 퍼지 가스 공급관(214)의 상류측 단부가 접속되어 있다. 퍼지 가스 공급관(214)의 하류측 단부는, 3 개의 라인, 즉, 퍼지 가스 공급관(214h, 214s, 214o)에 분기(分岐)하고 있다. 퍼지 가스 공급관(214h, 214s, 214o)의 하류측 단부는, 원료 가스 공급관(213h), H2O 가스 공급관(213s), O3 가스 공급관(213o)의 밸브(vh3,vs3,vo3)의 하류측에 각각 접속되어 있다. 한편, 퍼지 가스 공급관(214h, 214s, 214o)에는, N2 가스의 공급 유량을 제어하는 유량 제어기로서의 유량 컨트롤러(224h, 224s, 224o)와, N2 가스의 공급을 제어하는 밸브(vh4, vs4, vo4)가, 각각 설치되어 있다. 주로, N2 가스 공급원(230p), 퍼지 가스 공급관(214, 214h, 214s, 214o), 유량 컨트롤러(224h, 224s, 224o), 밸브(vh4,vs4,vo4)에 의해 퍼지 가스 공급계(퍼지 가스 공급 라인)가 구성된다.
[벤트계]
또한, 원료 가스 공급관(213h), H2O 가스 공급관(213s), O3 가스 공급관(213o)의 밸브(vh3, vs3, vo3)의 상류측에는, 벤트관(215h, 215s, 215o)의 상류측 단부가 각각 접속되어 있다. 또한, 벤트관(215h, 215s, 215o)의 하류측 단부는 합류하도록 일체화하여 벤트관(215)으로 되고, 벤트관(215)은 배기관(261)의 원료 회수(回收) 트랩(263)보다 상류측에 접속되어 있다. 벤트관(215h, 215s, 215o)에는 가스의 공급을 제어하는 밸브(vh5, vs5, vo5)가 각각 설치되어 있다.
상기 구성에 있어서, 밸브(vh3, vs3, vo3)를 닫고, 밸브(vh5, vs5, vo5)를 개방함으로써, 원료 가스 공급관(213h), H2O 가스 공급관(213s), O3 가스 공급관(213o) 내를 흐르는 가스를, 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스(bypass)시켜, 처리실(201) 외부로 각각 배기하는 것이 가능하게 된다.
또한, 퍼지 가스 공급관(214h, 214s, 214o)의 밸브(vh4, vs4, vo4)보다 상류측으로서 유량 컨트롤러(224h, 224s, 224o)보다 하류측에는, 벤트관(216h, 216s, 216o)이 각각 접속되어 있다. 또한, 벤트관(216h, 216s, 216o)의 하류측 단부는 합류하도록 일체화하여 벤트관(216)으로 되고, 벤트관(216)은 배기관(261)의 원료 회수 트랩(263)보다 하류측으로서 진공 펌프(264)보다 상류측에 접속되어 있다. 벤트관(216h, 216s, 216o)에는, 가스의 공급을 제어하는 밸브(vh6,vs6,vo6)가 각각 설치되어 있다.
상기 구성에 있어서, 밸브(vh4, vs4, vo4)를 닫고, 밸브(vh6, vs6, vo6)를 개방함으로써, 퍼지 가스 공급관(214h, 214s, 214o) 내를 흐르는 N2 가스를, 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스시켜, 처리실(201) 외부로 각각 배기하는 것이 가능하게 된다. 한편, 밸브(vh3, vs3, vo3)를 닫고, 밸브(vh5, vs5, vo5)를 개방함으로써, 원료 가스 공급관(213h), H2O 가스 공급관(213s), O3 가스 공급관(213o) 내를 흐르는 가스를, 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스시켜, 처리실(201) 외부로 각각 배기하는 경우에는, 밸브(vh4, vs4, vo4)를 개방함으로써, 원료 가스 공급관(213h), H2O 가스 공급관(213s), O3 가스 공급관(213o) 내에 N2 가스를 도입하여, 각 가스 공급관 내를 퍼지하도록 설정되어 있다. 또한, 밸브(vh6, vs6, vo6)는, 밸브(vh4, vs4, vo4)와 역(逆)동작을 수행하도록 설정되어 있고, N2 가스를 각 원료 가스 공급관 내에 공급하지 않는 경우에는, 처리실(201)을 바이패스하여 N2 가스를 배기하도록 되어 있다. 주로, 벤트관( 215h, 215s, 215o, 215), 벤트관(216h, 216s, 216o, 216), 밸브(vh5, vs5, vo5), 밸브(vh6, vs6, vo6)에 의해 벤트계(벤트라인)가 구성된다.
[컨트롤러]
한편, 성막 장치(40)는 성막 장치(40)의 각 부의 동작을 제어하는 컨트롤러(280)를 포함하고 있다. 컨트롤러(280)는 메인 컨트롤러(37)에 의해 제어됨으로써, 게이트 밸브(44), 승강 기구(207b), 부압 이재기(13), 히터(206), 압력 조정기(262), 기화기(229h), 오조나이저(229o), 진공 펌프(264), 밸브(vh1~vh6, vs3~vs6, vo3~vo6), 액체 유량 컨트롤러(221h), 유량 컨트롤러(225h, 221s, 221o, 222o, 224h, 224s, 224o) 등의 동작을 제어한다.
<제2 처리 유닛>
다음에, 본 실시예에 따른 클러스터 장치에 있어서의 제2 처리 유닛(32)에 대해 설명한다. 본 실시예에 있어서는, 제2 처리 유닛(32)은 열처리 유닛이며, 도 5에 나타나 있는 바와 같이, 매엽식 콜드 월형의 기판 처리 장치로서 구성되어 있고, 기능적으로는 RTP(Rapid Thermal Processing) 장치(이하, RTP 장치라고 함)(110)로서 구성되어 있다. 이하, RTP 장치(110)의 구성에 대해, 도 5를 참조하면서 설명한다. 도 5는 웨이퍼 처리 시에 있어서의 RTP 장치(110)의 단면 구성도이다.
도 5에 나타나 있는 바와 같이, RTP 장치(110)는 웨이퍼(2)를 처리하는 처리실(111)을 형성한 처리 용기로서의 광체(112)를 구비하고 있다. 광체(112)는 상하면이 개구한 원통 형상으로 형성된 튜브(113)와 튜브(113)의 상면 개구부를 폐색하는 원반(圓盤) 형상의 탑 플레이트(top plate, 114)와, 튜브(113)의 하면 개구부를 폐색하는 원반 형상의 보톰 플레이트(bottom plate, 115)가 조합되어 원통 중공체(中空體) 형상으로 구축되어 있다. 튜브(113)의 측벽의 일부에는, 배기구(116)가 처리실(111)의 내외를 연통하도록 개설(開設)되어 있다. 배기구(116)에는, 처리실(111) 내를 대기압 미만(이하, 부압이라고 함)으로 배기할 수 있는 배기 장치가 접속되어 있다. 튜브(113)의 측벽의 배기구(116)와 반대측의 위치에는, 웨이퍼(2)를 처리실(111) 내에 반입 반출하는 웨이퍼 반입 반출구(117)가 개설되어 있고, 웨이퍼 반입 반출구(117)는 게이트 밸브(118)에 의해 개폐되도록 되어 있다.
보톰 플레이트(115)의 하면의 중심선 상에는 승강 구동 장치(119)가 설치되어 있다. 승강 구동 장치(119)는 보톰 플레이트(115)에 삽통(揷通)되어 보톰 플레이트(115)에 대해서 상하 방향으로 접동(摺動)이 자유자재로 가능하도록 구성된 승강축(120)을 승강시키도록 구성되어 있다. 승강축(120)의 상단에는 승강판(121)이 수평으로 고정되어 있고, 승강판(121)의 상면에는 복수 개(통상은 3 개 또는 4 개)의 리프터 핀(122)이 수직으로 입각(立脚)되고 고정되어 있다. 각 리프터 핀(122)은 승강판(121)의 승강에 따라 승강함으로써, 웨이퍼(2)를 아래로부터 수평으로 지지하여 승강시키도록 되어 있다.
보톰 플레이트(115)의 상면에 있어서의 승강축(120)의 외측에는 지지통(123)이 돌설(突設)되어 있고, 지지통(123)의 상단면 위에는 냉각 플레이트(124)가 수평으로 가설(架設)되어 있다. 냉각 플레이트(124)의 상방에는, 복수 개의 가열 램프로 구성된 제1 가열 램프군(群)(125) 및 제2 가열 램프군(126)이 아래부터 차례로 배치되어, 각각 수평으로 가설되어 있다. 제1 가열 램프군(125) 및 제2 가열 램프군(126)은 제1 지주(127) 및 제2 지주(128)에 의해 각각 수평으로 지지되어 있다. 제1 가열 램프군(125) 및 제2 가열 램프군(126)의 전력 공급 전선(129)은 보톰 플레이트(115)를 삽통하여 외부로 인출되어 있다.
처리실(111) 내에는, 타레트(turret, 131)가 처리실(111)과 동심원(同心圓)으로 배치되어 있다. 타레트(131)는 인터널 스퍼 기어(internal spur gear, 133)의 상면에 동심원으로 고정되어 있다. 인터널 스퍼 기어(133)는 보톰 플레이트(115)에 개설(介設)된 베어링(132)에 의해 수평으로 지지되어 있다.
인터널 스퍼 기어(133)에는 원동측 스퍼 기어(134)가 서로 맞물려 있다. 원동측 스퍼 기어(134)는, 보톰 플레이트(115)에 개설된 베어링(135)에 의해 수평으로 지지되고, 보톰 플레이트(115) 아래에 설치된 서셉터 회전 장치(136)에 의해 회전 구동되도록 되어 있다. 타레트(131)의 상단면 위에는, 평판의 원형 링 형상으로 형성된 아우터 플랫폼(137)이 수평으로 가설되어 있다. 아우터 플랫폼(137)의 내측에는 이너 플랫폼(138)이 수평으로 가설되어 있다. 이너 플랫폼(138)의 내주의 하단부에는, 서셉터(140)가, 내주면의 하단부에 직경 방향 내향으로 돌설된 계합부(139)에 계합되고 보지되어 있다. 서셉터(140)의 각 리프터 핀(122)에 대향하는 위치에는, 삽통공(141)이 각각 개설되어 있다.
탑 플레이트(114)에는, 어닐(anneal) 가스 공급관(142) 및 불활성 가스 공급관(143)이 처리실(111)에 연통하도록 각각 접속되어 있다. 또한, 탑 플레이트(114)에는, 방사(放射) 온도계의 프로브(probe, 144)가 복수 개, 서로 반경 방향으로 웨이퍼(2)의 중심으로부터 주변에 걸쳐 어긋나게 각각 배치되고, 웨이퍼(2)의 상면과 대향하도록 삽입되어 있다. 방사 온도계는, 복수의 프로브(144)가 각각 검출한 웨이퍼(2)로부터의 방사광에 근거하는 계측 온도를, 컨트롤러(150)에 차례로 송신하도록 구성되어 있다. 컨트롤러(150)는 복수 개의 프로브(144)에 의한 계측 온도와 설정 온도를 비교하여 제1 가열 램프군(125) 및 제2 가열 램프군(126)으로의 전력 공급량을 제어한다.
탑 플레이트(114)의 다른 장소에는, 웨이퍼(2)의 방사율을 비(非)접촉으로 측정하는 방사율 측정 장치(145)가 설치되어 있다. 방사율 측정 장치(145)는 레퍼런스 프로브(reference probe, 146)를 구비하고 있다. 레퍼런스 프로브(146)는, 레퍼런스 프로브용 모터(147)에 의해 수직면 내에서 회전되도록 되어 있다. 레퍼런스 프로브(146)의 상측에는, 참조광(參照光)을 조사(照射)하는 레퍼런스 램프(148)가 레퍼런스 프로브(146)의 선단(先端)에 대향하도록 설치되어 있다. 레퍼런스 프로브(146)는, 레퍼런스 램프(148)로부터의 방사와, 웨이퍼(2)로부터의 방사를 비교함으로써, 웨이퍼(2)의 온도를 측정한다. 한편, 복수 개의 프로브(144)에 의해 측정된 웨이퍼 온도는, 레퍼런스 프로브(146)에 의해 측정된 웨이퍼 온도와 비교되고, 보정됨으로써 정확한 웨이퍼 온도의 검출을 가능하게 하고 있다.
컨트롤러(150)는 RTP 장치(110)의 각 부의 동작을 제어한다. 한편, 컨트롤러(150)는 메인 컨트롤러(37)에 의해 제어된다.
(2) 기판 처리 공정
다음에, 상기 구성에 따른 클러스터 장치(10)를 사용하여, 반도체 장치의 제조 공정의 일 공정으로서, 웨이퍼(2)를 처리하는 방법(기판 처리 공정)에 대해 설명한다. 여기서는, 캐패시터의 하부 전극으로서의 질화 티탄막(TiN막)이 표면에 형성된 웨이퍼(2)에 대해서 처리를 수행하는 예에 대해 설명한다. 한편, 이하의 설명에 있어서, 클러스터 장치(10)를 구성하는 각 부의 동작은 메인 컨트롤러(37)에 의해 제어된다.
클러스터 장치(10)의 재치대(載置臺, 25) 상에 재치된 포드(1)의 캡이, 캡 착탈 기구(26)에 의해 떼어내지고, 포드(1)의 웨이퍼 출입구가 개방된다. 포드(1)가 개방되면, 정압 이재실(16)에 설치된 정압 이재기(19)는, 웨이퍼 반입 반출구를 통해 포드(1)로부터 웨이퍼(2)를 1 매씩 픽업(pick up)하고, 반입실(14) 내에 투입하여, 반입실용 임시 거치대 상에 재치해 간다. 이 이재 작업 중에는, 반입실(14)의 정압 이재실(16)측은 게이트 밸브(17A)에 의해 개방되어 있고, 또한, 반입실(14)의 부압 이재실(11)측은 게이트 밸브(17B)에 의해 닫혀져 있으며, 부압 이재실(11) 내의 압력은, 예를 들면, 100Pa로 유지되고 있다.
반입실(14)의 정압 이재실(16)측이 게이트 밸브(17A)에 의해 닫혀지고, 반입실(14)이 배기 장치에 의해 부압으로 배기된다. 반입실(14) 내부가 미리 설정된 압력치로 감압되면, 반입실(14)의 부압 이재실(11)측이 게이트 밸브(17B)에 의해 개방된다. 다음에, 부압 이재실(11)의 부압 이재기(13)는, 반입실용 임시 거치대로부터 웨이퍼(2)를 1 매씩 픽업하여 부압 이재실(11) 내에 반입한다. 그 후, 반입실(14)의 부압 이재실(11)측이 게이트 밸브(17B)에 의해 닫혀진다. 이어서, 제1 처리 유닛(31)의 게이트 밸브(44)가 개방되고, 부압 이재기(13)는, 웨이퍼(2)를 제1 처리 유닛(31)의 처리실(201) 내로 반입(웨이퍼 로드)한다. 한편, 처리실(201) 내로의 웨이퍼(2)의 반입 시에는, 반입실(14) 내 및 부압 이재실(11) 내부가 미리 진공 배기되어 있기 때문에, 처리실(201) 내에 산소나 수분이 침입하는 것은 확실하게 방지된다.
<성막 공정>
다음에, 제1 처리 유닛(31)으로서의 성막 장치(40)를 사용하여, 웨이퍼(2) 상에 형성된 하부 전극 상에, 캐패시터 절연막으로서의 고유전율 절연막을 형성하는 성막 공정에 대해, 도 6을 참조하면서 설명한다. 도 6은 본 발명의 실시예에 따른 성막 공정의 플로우도이다. 여기서는, 원료로서 Hf 프리커서인 TDMA Hf(Tetrakis-DiMethyl-Amino-Hafnium : Hf[N(CH3)2]4)를 이용하고, 제1 산화원으로서 H2O를 이용하며, 제2 산화원으로서 O3를 이용하여 고유전율 절연막으로서의 산화 하프늄막(HfO2막)을 ALD법에 따라 성막하는 경우에 대해 설명한다. 한편, 이하의 설명에 있어서, 성막 장치(40)를 구성하는 각 부의 동작은 컨트롤러(280)에 의해 제어된다. 또한, 컨트롤러(280)의 동작은 메인 컨트롤러(37)에 의해 제어된다.
[웨이퍼 로드 공정(S1)]
우선, 승강 기구(207b)를 작동시켜, 지지대(203)를 도 4에 나타내는 웨이퍼 반송 위치까지 하강시킨다. 그리고, 상술한 바와 같이 게이트 밸브(44)를 개방하고, 처리실(201)과 부압 이재실(11)을 연통시킨다. 그리고, 상술한 바와 같이 부압 이재기(13)에 의해 부압 이재실(11) 내로부터 처리실(201) 내로 웨이퍼(2)를 반송 암(13a)으로 지지한 상태에서 로드한다(S1). 처리실(201) 내에 반입한 웨이퍼(2)는, 지지대(203)의 상면으로부터 돌출하고 있는 리프트 핀(208b) 상에 일시적으로 재치된다. 부압 이재기(13)의 반송 암(13a)이 처리실(201) 내로부터 부압 이재실(11) 내로 되돌아오면, 게이트 밸브(44)가 닫혀진다.
이어서, 승강 기구(207b)를 작동시켜, 지지대(203)를 도 3에 나타내는 웨이퍼 처리 위치까지 상승시킨다. 그 결과, 리프트 핀(208b)은 지지대(203)의 상면으로부터 매몰(埋沒)하고, 웨이퍼(2)는 지지대(203) 상면의 서셉터(217) 상에 재치된다.
[프레(pre)히트 공정(S2)]
이어서, 압력 조정기(262)에 의해, 처리실(201) 내의 압력이 소정의 처리 압력이 되도록 제어한다. 또한, 히터(206)에 공급하는 전력을 조정하고, 웨이퍼 온도를 승온(昇溫)시켜, 웨이퍼(2)의 표면 온도가 소정의 처리 온도가 되도록 제어한다(S2).
한편, 웨이퍼 로드 공정 S1, 프레히트 공정 S2 및 후술하는 웨이퍼 언로드 공정 S6에 있어서는, 진공 펌프(264)를 작동시키면서, 밸브(vh3, vs3, vo3)를 닫고, 밸브(vh4, vs4, vo4)를 개방함으로써, 처리실(201) 내에 N2 가스를 항상 흘려, 처리실(201) 내를 N2 분위기로 해 둔다. 이에 의해, 웨이퍼(2) 상으로의 파티클의 부착을 억제하는 것이 가능하게 된다. 한편, 진공 펌프(264)는 적어도 웨이퍼 로드 공정 S1로부터 후술하는 웨이퍼 언로드 공정 S6까지는, 항상 작동시킨 상태로 한다.
공정 S1~S2와 병행하여, 액체 원료(Hf 원료)인 TDMA Hf를 기화시킨 원료 가스(Hf원료 가스), 즉 TDMA Hf 가스를 생성(예비 기화)시켜 둔다. 즉, 밸브(vh3)를 닫은 상태로, 밸브(vh2)를 개방하고, 기화기(229h)에 캐리어 가스를 공급하면서, 밸브(vh1)를 개방함과 동시에, 압송 가스 공급관(237h)으로부터 압송 가스를 공급하여, 액체 원료 공급원(220h)으로부터 기화기(229h)에 액체 원료를 압송(공급)하고, 기화기(229h)에서 액체 원료를 기화시켜 원료 가스를 생성시켜 둔다. 이 예비 기화 공정에서는, 진공 펌프(264)를 작동시키면서, 밸브(vh3)를 닫은 상태로, 밸브(vh5)를 개방함으로써, 원료 가스를 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스하여 배기해 둔다.
또한, 이 때, 제1 산화원(제1 산화성 가스)으로서의 H2O 가스도 생성시킨 상태로 해 둔다. 즉, 진공 펌프(264)를 작동시키면서, 밸브(vs3)를 닫은 상태로, 밸브(vs5)를 개방함으로써, H2O 가스를 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스하여 배기해 둔다.
더욱이, 이 때, 제2 산화원(제2 산화성 가스)으로서의 O3 가스도 생성시켜 두는 것이 바람직하다. 즉, O2 가스 공급원(230o)으로부터 오조나이저(229o)에 O2 가스를 공급하여, 오조나이저(229o)에서 O3 가스를 생성시켜 둔다. 이 때, 진공 펌프(264)를 작동시키면서, 밸브(vo3)를 닫은 상태로, 밸브(vo5)를 개방함으로써, O3 가스를 처리실(201) 내에 공급하지 않고 처리실(201)을 바이패스하여 배기해 둔다.
기화기(229h)로 원료 가스를 안정된 상태에서 생성시키거나 H2O 가스 공급원(230s)으로 H2O 가스를 안정된 상태에서 생성시키거나, 혹은 오조나이저(229o)로 O3 가스를 안정된 상태에서 생성시키거나 하기 위해서는 소정의 시간을 요한다. 즉, 원료 가스나 H2O 가스나 O3 가스의 생성 초기에는, 이들이 불안정한 상태에서 공급된다. 이 때문에, 본 실시예에서는, 원료 가스, H2O 가스, O3 가스를 미리 생성시켜 둠으로써 안정 공급 가능한 상태로 해 두고, 밸브(vh3, vh5, vs3, vs5, vo3, vo5)의 개폐를 전환함으로써, 원료 가스, H2O 가스, O3 가스의 유로를 전환한다. 그 결과, 밸브의 변환에 의해, 처리실(201) 내로의 원료 가스, H2O 가스, O3 가스가 안정된 공급을 신속히 개시(開始) 혹은 정지할 수 있게 되기 때문에 바람직하다.
[제1 HfO2막 형성 공정(S3)]
[TDMA Hf 조사 공정(S3a)]
이어서, 밸브(vh4, vh5)를 닫고, 밸브(vh3)를 개방하여, 처리실(201) 내로의 원료 가스로서의 TDMA Hf 가스의 공급, 즉, 웨이퍼(2)로의 TDMA Hf 가스의 조사를 개시(開始)한다. 원료 가스는 샤워 헤드(240)에 의해 분산되어 처리실(201) 내의 웨이퍼(2) 상에 균일하게 공급된다. 잉여된 원료 가스는, 배기 덕트(259) 내를 흘러 배기구(260)로 배기된다. 한편, 처리실(201) 내로의 원료 가스의 공급 시에는, H2O 가스 공급관(213s), O3 가스 공급관(213o) 내로의 원료 가스의 침입을 방지하도록, 또한, 처리실(201) 내에 있어서의 원료 가스의 확산을 촉진하도록, 밸브(vs4, vo4)는 개방한 상태로 하고, 처리실(201) 내에 N2 가스를 항상 흘려 두는 것이 바람직하다. 밸브(vh3)를 개방하고, 원료 가스의 공급을 개시한 후, 소정 시간이 경과하면, 밸브(vh3)를 닫고, 밸브(vh4, vh5)를 개방하여, 처리실(201) 내로의 원료 가스의 공급을 정지한다.
[퍼지 공정(S3b)]
밸브(vh3)를 닫고, 처리실(201) 내로의 원료 가스의 공급을 정지한 후에는, 밸브(vh4, vs4, vo4)는 개방한 상태로 하고, 처리실(201) 내로의 N2 가스의 공급을 계속해서 수행한다. N2 가스는 샤워 헤드(240)를 개재하여 처리실(201) 내에 공급되고, 배기 덕트(259) 내를 흘러 배기구(260)로 배기된다. 이와 같이 하여, 처리실(201) 내를 N2 가스에 의해 퍼지하고, 처리실(201) 내에 잔류하고 있는 원료 가스를 제거한다.
[H2O 조사 공정(S3c)]
처리실(201) 내의 퍼지가 완료하면, 밸브(vs4, vs5)를 닫고, 밸브(vs3)를 개방하여, 처리실(201) 내로의 제1 산화원으로서의 H2O 가스의 공급, 즉, 웨이퍼(2)로의 H2O 가스의 조사를 개시한다. H2O 가스는 샤워 헤드(240)에 의해 분산되어 처리실(201) 내의 웨이퍼(2) 상에 균일하게 공급된다. 잉여된 H2O 가스는, 배기 덕트(259) 내를 흘러 배기구(260)로 배기된다. 한편, 처리실(201) 내로의 H2O 가스의 공급 시에는, 원료 가스 공급관(213h), O3 가스 공급관(213o) 내로의 H2O 가스의 침입을 방지하도록, 또한, 처리실(201) 내에 있어서의 H2O 가스의 확산을 촉진하도록, 밸브(vh4, vo4)는 개방한 상태로 하고, 처리실(201) 내에 N2 가스를 항상 흘려 두는 것이 바람직하다. 밸브(vs3)를 개방하고, H2O 가스의 공급을 개시한 후, 소정 시간이 경과하면, 밸브(vs3)를 닫고, 밸브(vs4, vs5)를 개방하여, 처리실(201) 내로의 H2O 가스의 공급을 정지한다.
[퍼지 공정(S3d)]
밸브(vs3)를 닫고, 처리실(201) 내로의 H2O 가스의 공급을 정지한 후에는, 밸브(vh4, vs4, vo4)는 개방한 상태로 하고, 처리실(201) 내로의 N2 가스의 공급을 계속해서 수행한다. N2 가스는 샤워 헤드(40)를 개재하여 처리실(201) 내에 공급되고, 배기 덕트(259) 내를 흘러, 배기구(260)로 배기된다. 이와 같이 하여, 처리실(201) 내를 N2 가스에 의해 퍼지하고, 처리실(201) 내에 잔류하고 있는 H2O 가스나 반응 부생성물을 제거한다.
[반복 공정(S3e)]
그리고, 공정 S3a~S3d를 1 사이클로 하여 이 사이클을 소정 회수 반복함으로써, 웨이퍼(2) 상(하부 전극인 TiN막 상)에, 소정 막두께의 제1 고유전율 절연막으로서의 제1 HfO2막이 초기층으로서 형성된다.
한편, 제1 HfO2막 형성 공정 S3에서 산화원으로서 이용하는 H2O 가스는, ALD법에 의한 성막의 온도대(溫度帶)에서는 O3 가스에 비해 에너지가 작고, 산화력이 약하다. 그 때문에, ALD법에 의한 성막의 온도 조건에서는, 산화원으로서 O3 가스를 이용하는 경우에 비해 하부 전극의 산화를 억제할 수 있다. 그 결과, 하부 전극의 전기 특성의 열화(劣化)를 억제하고, 예를 들면 캐패시터 용량의 감소 등을 회피할 수 있다.
여기서, 제1 HfO2막 형성 공정 S3에서 성막하는 제1 HfO2막이 너무 얇으면, 후술하는 제2 HfO2막 형성 공정 S4에 있어서, 산화원으로서 이용하는 O3 가스에 의해 하부 전극이 산화되기 쉽다. 따라서, 제1 HfO2막 형성 공정 S3에서는, 상술한 사이클의 반복 회수를 예를 들면 10회 이상으로 하고, 형성하는 제1 HfO2막의 막두께를 1nm 이상으로 하는 것이 바람직하다.
또한, 제1 HfO2막 형성 공정 S3에서 성막하는 제1 HfO2막이 너무 두꺼우면, 성막 처리의 생산성이 저하되어버리는 경우가 있다. H2O 가스는, O3 가스에 비해 처리실(201) 내의 부재에 흡착하기 쉽고, 탈리(脫離)하기 어렵기 때문에, O3 가스에 비해 처리실(201) 내로부터의 배출에 시간을 필요로 하기 때문이다. 따라서, 제1 HfO2막 형성 공정 S3에서는, 상술한 사이클의 반복 회수를 예를 들면 40회 이하로 하고, 형성하는 제1 HfO2막의 막두께를 4nm 이하로 하는 것이 바람직하다. 즉, 제1 HfO2막의 막두께는, 제2 HfO2막 형성 공정 S4에 있어서 산화원으로서 이용하는 O3 가스에 의한 하부 전극의 산화를 억제할 수 있을 정도의 두께로서, 가능한 한 얇은 것이 바람직하다.
[제2 HfO2막 형성 공정(S4)]
[TDMA Hf 조사 공정(S4a)]
이어서, 제1 HfO2막 형성 공정 S3에 있어서의 TDMA Hf 조사 공정 S3a과 마찬가지로, 웨이퍼(2)로의 TDMA Hf 가스의 조사를 수행한다.
[퍼지 공정(S4b)]
그 후, 제1 HfO2막 형성 공정 S3에 있어서의 퍼지 공정 S3b과 마찬가지로, 처리실(201) 내의 퍼지를 수행한다.
[O3 조사 공정(S4c)]
처리실(201) 내의 퍼지가 완료하면, 밸브(vo4, vo5)를 닫고, 밸브(vo3)를 개방하여, 처리실(201) 내로의 제2 산화원으로서의 O3 가스의 공급을 개시한다. O3 가스는 샤워 헤드(240)에 의해 분산되어 처리실(201) 내의 웨이퍼(2) 상에 균일하게 공급된다. 잉여된 O3 가스나 반응 부생성물은, 배기 덕트(259) 내를 흘러 배기구(260)로 배기된다. 한편, 처리실(201) 내로의 O3 가스의 공급 시에는, 원료 가스 공급관(213h), H2O 가스 공급관(213s) 내로의 O3 가스의 침입을 방지하도록, 또한, 처리실(201) 내에 있어서의 O3 가스의 확산을 촉진하도록, 밸브(vh4, vs4)는 개방한 상태로 하고, 처리실(201) 내에 N2 가스를 항상 흘려 두는 것이 바람직하다. 밸브(vo3)를 개방하고, O3 가스의 공급을 개시한 후, 소정 시간이 경과하면, 밸브(vo3)를 닫고, 밸브(vo4, vo5)를 개방하여, 처리실(201) 내로의 O3 가스의 공급을 정지한다.
[퍼지 공정(S4d)]
밸브(vo3)를 닫고, 처리실(201) 내로의 O3 가스의 공급을 정지한 후에는, 밸브(vh4, vs4, vo4)는 개방한 상태로 하고, 처리실(201) 내로의 N2 가스의 공급을 계속해서 수행한다. N2 가스는, 샤워 헤드(240)를 개재하여 처리실(201) 내에 공급되고, 배기 덕트(259) 내를 흘러 배기구(260)로 배기된다. 이와 같이 하여, 처리실(201) 내를 N2 가스에 의해 퍼지하고, 처리실(201) 내에 잔류하고 있는 O3 가스나 반응 부생성물을 제거한다.
[반복 공정(S4e)]
그리고, 공정 S4a~S4d를 1 사이클로 하여 이 사이클을 소정 회수 반복함으로써, 웨이퍼(2) 상에 형성된 제1 HfO2막 상에, 소정 막두께의 제2 고유전율 절연막으로서의 제2 HfO2막이 형성된다. 이에 의해, 웨이퍼(2) 상(하부 전극인 TiN막 상)에 소정 막두께의 고유전율 절연막으로서의 HfO2막이 형성되게 된다. 한편, 소정 막두께의 HfO2막은, 제1 HfO2막과 제2 HfO2막에 의해 구성된다.
한편, 제1 HfO2막 형성 공정 S3 및 제2 HfO2막 형성 공정 S4를 ALD법에 의해 수행하는 경우에는, 처리 온도(웨이퍼 온도)를 원료 가스가 자기(自己) 분해하지 않을 정도의 온도대가 되도록 제어한다. 이 경우, TDMA Hf 조사 공정 S3a, S4a에 있어서는, TDMA Hf는 웨이퍼(2) 상에 흡착한다. H2O 조사 공정 S3c에 있어서는, 웨이퍼(2) 상에 흡착한 TDMA Hf와 H2O가 반응함으로써 웨이퍼(2) 상에 1 원자층 미만의 HfO2막이 형성된다. O3 조사 공급 공정 S4c에 있어서는, 웨이퍼(2) 상에 흡착한 TDMA Hf와 O3가 반응함으로써 웨이퍼(2) 상에 1 원자층 미만의 HfO2막이 형성된다. 한편, 이 때, O3에 의해 박막중에 혼입하려고 하는 탄소(C), 수소(H) 등의 불순물을 탈리(脫離)시킬 수 있다.
본 실시예의 성막 장치에서, ALD법에 의해, 제1 HfO2막을 형성할 때의 처리 조건으로서는, 웨이퍼 온도:100~400℃, 처리실 내 압력:1~1000Pa, TDMA Hf 공급 유량:10~2000sccm, H2O 공급 유량:10~2000sccm, N2(퍼지 가스) 공급 유량:10~10000sccm, 막두께:1~4 nm가 예시된다.
또한, 본 실시예의 성막 장치에서, ALD법에 의해, 제2 HfO2막을 형성할 때의 처리 조건으로서는, 웨이퍼 온도:100~400℃, 처리실 내 압력:1~1000Pa, TDMA Hf 공급 유량:10~2000sccm, O3 공급 유량:10~2000sccm, N2(퍼지 가스) 공급 유량:10~10000sccm, 제1 HfO2막 및 제2 HfO2막의 토탈 막두께:8~12nm가 예시된다.
[가스 배기 공정(S5)]
소정 막두께의 HfO2막이 형성되면, 처리실(201) 내부가 진공 배기된다. 혹은 처리실(201) 내에 불활성 가스가 공급되면서 처리실(201) 내부가 진공 배기되고 퍼지된다.
그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환된다.
[웨이퍼 언로드 공정(S6)]
그 후, 상술한 웨이퍼 로드 공정 S1에 나타낸 순서와는 반대의 순서로, 소정 막두께의 HfO2막이 형성된 후의 웨이퍼(2)를 처리실(201) 내로부터 부압 이재실(11) 내로 반출한다.
<열처리 공정>
다음에, 제2 처리 유닛(32)으로서의 RTP(Rapid Thermal Process) 장치(110)를 사용하여, 웨이퍼(2) 상에 형성된 소정 막두께의 HfO2막을 열처리하는 열처리 공정에 대해 설명한다. 즉, 불활성 가스 분위기 하에서, 소정 막두께의 HfO2막을, 어닐에 의해 치밀화(緻密化) 혹은 결정화(結晶化)하는 공정에 대해 설명한다. 한편, 이하의 설명에 있어서, RTP 장치(110)를 구성하는 각 부의 동작은 컨트롤러(150)에 의해 제어되고, 컨트롤러(150)는 메인 컨트롤러(37)에 의해 제어된다.
웨이퍼 언로드 공정 S6에서 게이트 밸브(44)가 닫혀진 후에, 게이트 밸브(118)가 개방된다. 게이트 밸브(118)가 개방되면, 어닐을 실시해야 할 웨이퍼(2)는, 제2 처리 유닛(32)인 RTP 장치(110)의 처리실(111) 내에 부압 이재기(13)에 의해 웨이퍼 반입 반출구(117)로부터 반입되고, 복수 개의 리프터 핀(122)의 상단간에 이재된다. 웨이퍼(2)를 리프터 핀(122)에 이재한 부압 이재기(13)가 처리실(111)의 외부로 퇴피하면, 웨이퍼 반입 반출구(117)가 게이트 밸브(118)에 의해 닫혀진다. 또한, 승강축(120)이 승강 구동 장치(119)에 의해 하강됨으로써, 리프터 핀(122) 위의 웨이퍼(2)가 서셉터(140) 위에 수도(受渡)된다. 처리실(111)이 기밀(氣密)하게 닫혀진 상태에서, 처리실(111) 내는 1~1000Pa의 범위 내의 소정의 압력이 되도록 배기구(116)를 통해서 배기된다.
웨이퍼(2)가 서셉터(140)에 수도되면, 웨이퍼(2)를 서셉터(140)에 의해 보지한 타레트(131)가, 서셉터 회전 장치(136)에 의해 회전된다. 서셉터(140)에 보지된 웨이퍼(2)는, 서셉터 회전 장치(136)에 의해 회전되면서, 400~700℃의 범위 내의 소정의 온도가 되도록 제1 가열 램프군(125) 및 제2 가열 램프군(126)에 의해 가열된다. 이 회전 및 가열 중에, 처리실(111) 내에, 질소 가스나 아르곤 가스 등의 불활성 가스가 어닐 가스 공급관(142)으로부터 공급된다. 이 때 불활성 가스 공급 유량은, 10~10000sccm의 범위 내의 소정의 유량이 되도록 제어된다. 서셉터(140)가 서셉터 회전 장치(136)에 의해 회전되면서, 서셉터(140) 위에 보지된 웨이퍼(2)는 제1 가열 램프군(125) 및 제2 가열 램프군(126)에 의해 균일하게 가열되기 때문에, 웨이퍼(2) 상에 형성된 소정 막두께의 HfO2막은 전체면에 걸쳐서 균일하게 어닐된다. 이 어닐의 처리 시간은, 예를 들면 1~60초간의 범위 내의 소정의 시간으로 한다. 이상의 열처리 공정에 의해, 웨이퍼(2) 상에 형성된 소정 막두께의 HfO2막은 치밀화 혹은 결정화된다.
RTP 장치(110)에 있어서 미리 설정된 소정의 처리 시간이 경과하면, 처리실(111) 내부가 배기구(116)를 통해 소정의 부압이 되도록 배기된 후에, 게이트 밸브(118)가 개방된다. 그리고, 어닐 처리된 웨이퍼(2)는, 부압 이재기(13)에 의해 반입 시와 반대의 순서로 처리실(111)로부터 부압 이재실(11)에 반출된다.
한편, 고유전율 절연막 형성 공정, 열처리 공정 실시 후의 웨이퍼(2)는, 필요에 따라서 제1 쿨링 유닛(35) 또는 제2 쿨링 유닛(36)에 의해, 냉각되는 경우도 있다.
그 후, 반출실(15)의 부압 이재실(11)측이 게이트 밸브(18B)에 의해 개방되고, 부압 이재기(13)는 웨이퍼(2)를 부압 이재실(11)로부터 반출실(15)로 반송하며, 반출실(15)의 반출실용 임시 거치대 위에 이재한다. 이 때에는, 사전(事前)에, 반출실(15)의 정압 이재실(16)측이 게이트 밸브(18A)에 의해 닫혀지고, 반출실(15)이 배기 장치에 의해 부압으로 배기된다. 반출실(15)이 미리 설정된 압력치로 감압되면, 반출실(15)의 부압 이재실(11)측이 게이트 밸브(18B)에 의해 개방되고, 웨이퍼(2)의 반출이 수행되게 된다. 웨이퍼(2)의 반출 후에, 게이트 밸브(18B)는 닫혀진다.
이상의 작동이 반복됨으로써, 반입실(14)에 일괄되어 반입된 25 매의 웨이퍼(2)에 대해, 상술한 각 공정이 차례차례 실시되어 간다. 25 매의 웨이퍼(2)에 대해 일련의 소정의 처리가 완료되면, 처리 완료된 웨이퍼(2)는 반출실(15)의 임시 거치대에 모이게 된다.
그 후, 부압으로 유지된 반출실(15) 내에 질소 가스가 공급되고, 반출실(15) 내부가 대기압으로 된 후에, 반출실(15)의 정압 이재실(16)측이, 게이트 밸브(18A)에 의해 개방된다. 그 다음에, 재치대(25) 상에 재치된 빈 포드(1)의 캡이, 포드 오프너(24)의 캡 착탈 기구(26)에 의해 개방된다. 이어서, 정압 이재실(16)의 정압 이재기(19)는 반출실(15)로부터 웨이퍼(2)를 픽업하여 정압 이재실(16)에 반출하고, 정압 이재실(16)의 웨이퍼 반입 반출구(23)를 통해 포드(1)에 수납해 간다. 처리 완료된 25 매의 웨이퍼(2)의 포드(1)로의 수납이 완료되면, 포드(1)의 캡이 포드 오프너(24)의 캡 착탈 기구(26)에 의해 웨이퍼 출입구에 장착되고, 포드(1)가 닫혀진다.
본 실시예에 있어서는, 클러스터 장치(10)에 있어서의 일련의 공정이 종료된 웨이퍼(2)는 포드(1) 내에 기밀하게 수납된 상태에서, 상부 전극 형성 공정을 실시하는 다른 성막 장치에 반송되어 간다.
(3) 본 실시예에 따른 효과
본 실시예에 의하면, 이하에 나타내는 효과 중 하나 또는 복수의 효과를 발휘한다.
본 실시예에 의하면, 제1 HfO2막 형성 공정 S3에 있어서, 웨이퍼(2)로 TDMA Hf 가스와 H2O 가스를 교대로 조사(照射)함으로써, 하부 전극인 TiN막 상에 소정 막두께의 제1 HfO2막을 초기층으로서 형성한다. ALD법에 의한 성막의 온도대에서는, H2O 가스는 O3 가스에 비해 에너지가 작고, 산화력이 약하다. 그 때문에, ALD법에 따르는 성막의 온도 조건에서는, 산화원으로서 H2O 가스를 이용하는 경우, O3 가스를 이용하는 경우에 비해 하부 전극의 산화를 억제할 수 있다. 그 결과, 하부 전극의 전기 특성의 열화를 억제하고, 예를 들면 캐패시터 용량의 감소 등을 회피할 수 있다.
또한, 본 실시예에 의하면, 제2 HfO2막 형성 공정 S4에 있어서, 웨이퍼(2)로 TDMA Hf 가스와 O3 가스를 교대로 조사함으로써, 제1 HfO2막 상에 소정 막두께의 제2 HfO2막을 형성한다. O3 가스는 H2O 가스에 비해 처리실(201) 내의 부재에 흡착하기 어렵고, 탈리하기 쉽기 때문에, H2O 가스에 비해 처리실(201) 내로부터의 배출을 단(短)시간에 수행할 수 있다. 이에 의해 성막 처리의 생산성을 향상시킬 수 있다. 또한, 산화원으로서 O3 가스를 이용함으로써, 산화원으로서 H2O 가스만을 이용했을 경우와 비교하여, 고유전율 절연막의 전기 특성을 향상시킬 수 있다.
이와 같이, 본 실시예에 의하면, HfO2막을 형성하는 초기 단계(막두께가 수 nm 이하, 바람직하게는 1~4nm의 범위의 제1 HfO2막을 형성하는 단계)에서는, 산화원으로서 H2O 가스를 이용하고, 하지(下地)의 TiN 등의 금속막의 산화를 억제하도록 하고 있다. 그리고, 초기층으로서의 제1 HfO2막의 형성이 완료하면, 산화원으로서 O3 가스를 이용하고, 성막 처리의 생산성을 향상시키면서, 제2 HfO2막을 형성하고, 예를 들면 토탈 막두께(제1 HfO2막과 제2 HfO2막과의 합계 막두께)가 8~12nm의 박막을 형성하도록 하고 있다. 이에 의해, 하부 전극의 전기 특성의 열화를 억제하면서, 반도체 장치의 생산성을 향상시킬 수 있다.
또한, 본 실시예에 의하면, 제2 처리 유닛(32)으로서의 RTP 장치(110)를 사용하여, 웨이퍼(2) 상에 형성된 소정 막두께의 HfO2막을 열처리하는 열처리 공정을 실시하고 있다. 이에 의해, 형성한 HfO2막을 치밀화 혹은 결정화시킬 수 있다.
<실시예>
발명자 등은, 상술한 실시예에서 나타낸 방법을 이용하여 웨이퍼 상에 형성된 하부 전극으로서의 TiN막 상에 제1 HfO2막과 제2 HfO2막으로 이루어지는 HfO2막을 형성했다. 성막 시에는, 원료로서 Hf 프리커서(precursor)인 TDMA Hf를, 제1 산화원으로서 H2O를, 제2 산화원으로서 O3를 이용했다. 처리 조건은, 상술한 실시예에서 나타낸 처리 조건의 범위 내의 값으로 했다. 제1 HfO2막의 막두께를 2nm으로 하고, 토탈 막두께(제1 HfO2막과 제2 HfO2막과의 합계 막두께)를 10nm로 했다. 도 8에 그 성막 샘플의 단면 개략도를 예시한다.
그 결과, 하부 전극인 TiN막은 실질적으로 산화되어 있지 않은 것을 확인할 수 있었다. 또한, 처리실(201) 내로부터의 O3 가스의 배출 시간은, 처리실(201) 내로부터의 H2O 가스의 배출 시간의 수 분의 1 미만이며, 산화원으로서 H2O만을 이용했을 경우와 비교하여 성막 처리의 생산성을 향상시킬 수 있음을 확인할 수 있었다.
<본 발명의 다른 실시예>
상술한 실시예에서는, 기판 처리 장치(성막 장치)로서 한 번에 1 매의 기판을 처리하는 매엽식(枚葉式)의 ALD 장치를 이용하여 성막하는 예에 대해 설명했는데, 본 발명은 상술한 실시예에 한정되지 않는다. 예를 들면, 기판 처리 장치로서 한 번에 복수 매의 기판을 처리하는 뱃치(batch)식의 종형 ALD 장치를 이용하여 성막하도록 해도 좋다. 이하, 이 종형 ALD 장치에 대해 설명한다.
도 7은 본 실시예에서 적합하게 이용되는 종형 ALD 장치의 종형 처리로(處理爐)의 개략 구성도이며, 도 7의 (a)는 처리로(302) 부분을 종단면으로 나타내고, 도 7의 (b)는 처리로(302) 부분을 도 7의 (a)의 A-A선 단면도로 나타낸다.
도 7의 (a)에 나타내는 바와 같이, 처리로(302)는 가열 수단(가열 기구)으로서의 히터(307)를 포함한다. 히터(307)는 원통 형상이며, 보지판으로서의 히터 베이스에 지지됨으로써 수직으로 고정되어 있다.
히터(307)의 내측에는, 히터(307)와 동심원 형상으로 반응관으로서의 프로세스 튜브(303)가 배설(配設)되어 있다. 프로세스 튜브(303)는, 예를 들면 석영(SiO2)이나 탄화 실리콘(SiC) 등의 내열성(耐熱性) 재료로 이루어지고, 상단이 폐색하고 하단이 개구한 원통 형상으로 형성되어 있다. 프로세스 튜브(303)의 통 중공부에는 처리실(301)이 형성되어 있고, 기판으로서의 웨이퍼(2)를, 후술하는 보트(317)에 의해 수평 자세에서 수직 방향에 다단으로 정렬한 상태에서 수용 가능하게 구성되어 있다.
프로세스 튜브(303)의 하방에는, 프로세스 튜브(303)와 동심원 형상으로 매니폴드(manifold, 309)가 배설되어 있다. 매니폴드(309)는, 예를 들면 스테인리스 등으로 이루어지고, 상단 및 하단이 개구한 원통 형상으로 형성되어 있다. 매니폴드(309)는 프로세스 튜브(303)에 계합(係合)하고 있고, 프로세스 튜브(303)를 지지하도록 설치되어 있다. 한편, 매니폴드(309)와 프로세스 튜브(303)와의 사이에는, 씰 부재로서의 O링(320a)이 설치되어 있다. 매니폴드(309)가 히터 베이스에 지지됨으로써, 프로세스 튜브(303)는 수직으로 고정된 상태로 되어 있다. 프로세스 튜브(303)와 매니폴드(309)에 의해 반응 용기가 형성된다.
매니폴드(309)에는, 제1 가스 도입부로서의 제1 노즐(333a)과, 제2 가스 도입부로서의 제2 노즐(333b)이, 매니폴드(309)의 측벽을 관통하도록 접속되어 있다. 제1 노즐(333a)과 제2 노즐(333b)은, 각각 수평부와 수직부를 갖는 L자 형상이며, 수평부가 매니폴드(309)에 접속되고, 수직부가 프로세스 튜브(303)의 내벽과 웨이퍼(2)와의 사이에 있어서의 원호 형상의 공간에, 프로세스 튜브(303)의 하부로부터 상부의 내벽을 따라서, 웨이퍼(2)의 적재 방향을 향해 입상(立上)하도록 설치되어 있다. 제1 노즐(333a), 제2 노즐(333b)의 수직부의 측면에는, 가스를 공급하는 공급공인 제1 가스 공급공(348a), 제2 가스 공급공(348b)이 각각 설치되어 있다. 이 제1 가스 공급공(348a), 제2 가스 공급공(348b)은, 각각 하부로부터 상부에 걸쳐서 동일한 개구 면적을 갖고, 아울러 동일한 개구 피치(pitch)로 설치되어 있다.
제1 노즐(333a), 제2 노즐(333b)에 접속되는 가스 공급계는, 상술한 실시예와 동일하다. 다만, 본 실시예에서는, 제1 노즐(333a)에 원료 가스 공급관(213h)이 접속되고, 제2 노즐(333b)에 H2O 가스 공급관(213s) 및 O3 가스 공급관(213o)이 접속되는 점이, 상술한 실시예와 다르다. 즉, 본 실시예에서는, 원료 가스와, 산화원(H2O, O3)을, 별개의 노즐에 의해 공급한다. 한편, 각 산화원을 별개의 노즐에 의해 공급하도록 해도 무방하다.
매니폴드(309)에는, 처리실(301) 내의 분위기를 배기하는 배기관(331)이 설치되어 있다. 배기관(331)에는, 압력 검출기로서의 압력 센서(345) 및 압력 조정기로서의 APC(Auto Pressure Controller) 밸브(342)를 개재하여, 진공 배기 장치로서의 진공 펌프(346)가 접속되어 있고, 압력 센서(345)에 의해 검출된 압력 정보에 근거하여 APC 밸브(342)를 조정함으로써, 처리실(301) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성되어 있다. 한편, APC 밸브(342)는 밸브를 개폐하여 처리실(301) 내의 진공 배기·진공 배기 정지를 할 수 있고, 나아가 밸브 개도(開度)를 조정하여 처리실(301) 내의 압력을 조정할 수 있도록 구성되어 있는 개폐밸브이다.
매니폴드(309)의 하방에는, 매니폴드(309)의 하단 개구를 기밀하게 폐색 가능한 노구(爐口) 덮개로서의 씰 캡(seal cap, 319)이 설치되어 있다. 씰 캡(319)은, 매니폴드(309)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 되어 있다. 씰 캡(319)은 예를 들면 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 씰 캡(319)의 상면에는, 매니폴드(309)의 하단과 당접하는 씰 부재로서의 O링(320b)이 설치되어 있다. 씰 캡(319)의 처리실(301)과 반대측에는, 후술하는 보트(317)를 회전시키는 회전 기구(367)가 설치되어 있다. 회전 기구(367)의 회전축(355)은, 씰 캡(319)을 관통하여, 보트(317)에 접속되어 있고, 보트(317)를 회전시킴으로써 웨이퍼(2)를 회전시키도록 구성되어 있다. 씰 캡(319)은, 프로세스 튜브(303)의 외부에 배치된 승강 기구로서의 보트 엘리베이터(315)에 의해, 수직 방향으로 승강되도록 구성되어 있고, 이에 의해 보트(317)를 처리실(301) 내에 대해 반입 반출하는 것이 가능하게 되어 있다.
기판 보지구(保持具)로서의 보트(317)는, 예를 들면 석영이나 탄화규소 등의 내열 재료로 이루어지고, 복수 매의 웨이퍼(2)를 수평 자세이면서 서로 중심을 맞춘 상태에서 정렬시켜 다단으로 보지하도록 구성되어 있다. 한편, 보트(317)의 하부에는, 예를 들면 석영이나 탄화규소 등의 내열 재료로 이루어지는 단열(斷熱) 부재(318)가 설치되어 있고, 히터(307)로부터의 열이 씰 캡(319)측에 전달되기 어렵도록 구성되어 있다. 프로세스 튜브(303) 내에는, 온도 검출기로서의 온도 센서(363)가 설치되어 있고, 온도 센서(363)에 의해 검출된 온도 정보에 근거하여 히터(307)로의 통전(通電) 상태를 조정함으로써, 처리실(301) 내의 온도가 소정의 온도 분포가 되도록 구성되어 있다. 온도 센서(363)는, 제1 노즐(333a) 및 제2 노즐(333b)과 마찬가지로, 프로세스 튜브(303)의 내벽을 따라서 설치되어 있다.
제어부(제어 수단)인 컨트롤러(380)는, APC 밸브(342), 히터(307), 온도 센서(363), 진공 펌프(346), 회전 기구(367), 보트 엘리베이터(315), 밸브(vh1~vh6, vs3~vs6, vo3~vo6), 액체 유량 컨트롤러(221h), 유량 컨트롤러(225h, 221s, 221o, 222o, 224h, 224s, 224o) 등의 동작을 제어한다.
다음에, 상기 구성에 따른 종형 ALD 장치의 처리로(302)를 이용하여, 반도체 장치의 제조 공정의 일 공정으로서, ALD법에 의해 웨이퍼(2) 상에 박막을 형성하는 기판 처리 공정에 대해 설명한다. 한편, 이하의 설명에 있어서, 종형 ALD 장치를 구성하는 각 부의 동작은, 컨트롤러(380)에 의해 제어된다.
복수 매의 웨이퍼(2)를 보트(317)에 장전(wafer charge)한다. 그리고, 도 7의 (a)에 나타내는 바와 같이, 복수 매의 웨이퍼(2)를 보지한 보트(317)를, 보트 엘리베이터(315)에 의해 들어올려져 처리실(301) 내에 반입(보트 로드)한다. 이 상태에서, 씰 캡(319)은 O링(320b)을 개재하여 매니폴드(309)의 하단을 씰한 상태가 된다.
처리실(301) 내부가 원하는 압력(진공도)이 되도록, 진공 펌프(346)에 의해 처리실(301) 내를 진공 배기한다. 이 때, 처리실(301) 내의 압력을 압력 센서(345)로 측정하고, 이 측정된 압력에 근거하여, APC 밸브(342)를 피드백 제어한다. 또한, 처리실(301) 내부가 원하는 온도가 되도록, 히터(307)에 의해 가열한다. 이 때, 처리실(301) 내부가 원하는 온도 분포가 되도록, 온도 센서(363)가 검출한 온도 정보에 근거하여 히터(307)로의 통전 상태를 피드백 제어한다. 이어서, 회전 기구(367)에 의해 보트(317)를 회전시킴으로써, 웨이퍼(2)를 회전시킨다.
그 후, 예를 들면 상술한 실시예와 마찬가지로, 제1 HfO2막 형성 공정 S3 및 제2 HfO2막형성 공정 S4를 실시함으로써, 웨이퍼(2) 상에 소정 막두께의 HfO2막을 형성한다.
그 후, 보트 엘리베이터(315)에 의해 씰 캡(319)을 하강시키고, 매니폴드(309)의 하단을 개구시킴과 동시에, 소정 막두께의 HfO2막이 형성된 후의 웨이퍼(2)를, 보트(317)에 보지시킨 상태에서 매니폴드(309)의 하단으로부터 프로세스 튜브(303)의 외부에 반출(보트 언로드)한다. 그 후, 처리 완료된 웨이퍼(2)를 보트(317)로부터 꺼낸다(wafer discharge).
본 실시예에 있어서도, 상술한 실시예와 동일한 효과를 발휘한다. 즉, 하부 전극의 전기 특성의 열화를 억제하면서, 반도체 장치의 생산성을 향상시킬 수 있다.
<본 발명의 또 다른 실시예>
이상, 본 발명의 실시예를 구체적으로 설명했는데, 본 발명은 상술한 실시예에 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들면, 상술한 실시예에서는 고유전율막으로서 HfO2막을 형성하는 경우에 대해 설명했는데, 본 발명은 이러한 형태에 한정되지 않고, 예를 들면 HfSiO막, HfAlO막, ZrO2막, ZrSiO막, ZrAlO막, TiO2막, Nb2O5막, Ta2O5막이나, 이들을 조합하거나 혼합시킨 고유전율막을 형성하는 경우에도 적합하게 적용 가능하다.
또한, 상술한 실시예에서는 제2 HfO2막을 형성할 때의 산화원으로서 O3 가스를 이용하는 경우에 대해 설명했는데, 본 발명은 이러한 형태에 한정되지 않고, 산화원으로서 플라즈마로 활성화한 산소 함유 물질, 예를 들면 플라즈마로 활성화한 O2 가스 등을 이용해도 좋다. 그 경우, 오조나이저(229o) 대신에 리모트 플라즈마 유닛을 설치하면 좋다.
또한, 상술한 실시예에서는, 산화원으로서 H2O 가스를 이용하여 초기층으로서의 제1 HfO2막을 형성한 후, 산화원으로서 O3 가스를 이용하여 제2 HfO2막을 형성하는 경우에 대해 설명했는데, 본 발명은 이러한 형태에 한정되지 않는다. 예를 들면, 산화원으로서 H2O 가스를 이용하는 고유전율막의 성막 스텝과, 산화원으로서 O3 가스를 이용하는 고유전율막의 성막 스텝을 교대로 반복해도 무방하다. 또한 예를 들면, 산화원으로서 H2O 가스를 이용하는 고유전율막의 성막 스텝과, 산화원으로서 O3 가스를 이용하는 고유전율막의 성막 스텝을 교대로 한정하지 않고 임의의 타이밍으로 전환해도 무방하다.
또한, 상술한 실시예에서는, 제1 HfO2막 형성 공정 S3에 있어서, TDMA Hf 조사 공정 S3a → 퍼지 공정 S3b → H2O 조사 공정 S3c → 퍼지 공정 S3d를 1 사이클로 하여 이 사이클을 소정 회수 반복하도록 하고, 제2 HfO2막 형성 공정 S4에 있어서, TDMA Hf 조사 공정 S4a → 퍼지 공정 S4b → O3 조사 공정 S4c → 퍼지 공정 S4d를 1 사이클로 하여 이 사이클을 소정 회수 반복하도록 하고 있었다. 그러나, 본 발명은, 이와 같이 원료 가스의 공급으로부터 사이클을 개시(開始)하는 형태로 한정되지 않고, 산화제의 공급으로부터 사이클을 개시하도록 해도 무방하다. 즉, 제1 HfO2막 형성 공정 S3에 있어서, H2O 조사 공정 S3c → 퍼지 공정 S3b → TDMA Hf 조사 공정 S3a → 퍼지 공정 S3d를 1 사이클로 하여 이 사이클을 소정 회수 반복하도록 해도 무방하다. 또한, 제2 HfO2막형성 공정 S4에 있어서, O3 조사 공정 S4c → 퍼지 공정 S4b → TDMA Hf 조사 공정 S4a → 퍼지 공정 S4d를 1 사이클로 하여 이 사이클을 소정 회수 반복하도록 해도 무방하다.
또한, 상술한 실시예에서는, 고유전율막의 성막 공정과 열처리 공정을 별개의 처리 용기[성막 장치(40)의 처리 용기(202), RTP 장치(110)의 광체(112)]에 의해 수행하는 것으로 하고 있었는데, 본 발명은 이러한 형태에 한정되지 않는다. 즉, 고유전율막의 성막 공정과 열처리 공정을 동일한 처리 용기 내에서 수행하는 것으로 해도 좋다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해 부기한다.
본 발명의 일 형태에 의하면,
기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 제1 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
바람직하게는, 상기 제1 산화원은, 상기 제2 산화원보다, 에너지가 작다.
또한 바람직하게는, 상기 제1 산화원은, 상기 제2 산화원보다, 산화력이 작다.
또한 바람직하게는, 상기 제1 산화원이 H2O이며, 상기 제2 산화원이 O3 또는 플라즈마로 활성화한 산소 함유 물질이다.
또한 바람직하게는, 상기 제1 고유전율 절연막의 막두께는, 상기 제2 고유전율 절연막의 막두께보다 얇다.
또한 바람직하게는, 상기 제1 고유전율 절연막의 막두께가 1~4nm이다.
또한 바람직하게는, 상기 제1 고유전율 절연막과 상기 제2 고유전율 절연막은, 동일 원소를 포함하는 막(동일 종류의 막)이다.
또한 바람직하게는, 상기 제1 고유전율 절연막과 상기 제2 고유전율 절연막은 캐패시터 절연막이다.
또한 바람직하게는, 상기 기판 표면에는 금속막이 형성되어 있고, 상기 제1 고유전율 절연막은, 상기 금속막 상에 형성된다.
본 발명의 다른 형태에 의하면,
기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 H2O를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 O3를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 처리실과,
상기 처리실 내에 원료를 공급하는 원료 공급계와,
상기 처리실 내에 제1 산화원을 공급하는 제1 산화원 공급계와,
상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하는 제2 산화원 공급계와,
상기 처리실 내를 배기하는 배기계와,
기판을 수용한 상기 처리실 내로의 원료의 공급 및 배기와, 상기 처리실 내로의 제1 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하고,
상기 처리실 내로의 상기 원료의 공급 및 배기와, 상기 처리실 내로의 상기 제2 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하도록, 상기 원료 공급계, 상기 제1 산화원 공급계, 상기 제2 산화원 공급계 및 상기 배기계를 제어하는 컨트롤러를 포함하는 기판 처리 장치가 제공된다.
2 : 웨이퍼(기판) 10 : 클러스터 장치(기판 처리 장치)
201 : 처리실 280 : 컨트롤러

Claims (12)

  1. 기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 제1 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
    상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 제1 산화원은, 상기 제2 산화원보다, 에너지가 작은 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 제1 산화원은, 상기 제2 산화원보다, 산화력이 작은 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 제1 산화원이 H2O이며, 상기 제2 산화원이 O3 또는 플라즈마로 활성화한 산소 함유 물질인 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 제1 고유전율 절연막의 막두께는, 상기 제2 고유전율 절연막의 막두께보다 얇은 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 제1 고유전율 절연막의 막두께가 1~4nm인 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 제1 고유전율 절연막과 상기 제2 고유전율 절연막은, 동일 원소를 포함하는 막인 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 제1 고유전율 절연막과 상기 제2 고유전율 절연막은 캐패시터 절연막인 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 기판 표면에는 금속막이 형성되어 있고, 상기 제1 고유전율 절연막은, 상기 금속막 상에 형성되는 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 기판 표면에는 TiN막이 형성되어 있고, 상기 제1 고유전율 절연막은, 상기 TiN 상에 형성되는 반도체 장치의 제조 방법.
  11. 기판을 수용한 처리실 내에 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 H2O를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하는 공정과,
    상기 처리실 내에 상기 원료를 공급하여 배기하는 공정과, 상기 처리실 내에 O3를 공급하여 배기하는 공정을 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 기판을 처리하는 처리실과,
    상기 처리실 내에 원료를 공급하는 원료 공급계와,
    상기 처리실 내에 제1 산화원을 공급하는 제1 산화원 공급계와,
    상기 처리실 내에 상기 제1 산화원과는 다른 제2 산화원을 공급하는 제2 산화원 공급계와,
    상기 처리실 내를 배기하는 배기계와,
    기판을 수용한 상기 처리실 내로의 원료의 공급 및 배기와, 상기 처리실 내로의 제1 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 기판 상에 제1 고유전율 절연막을 형성하고, 상기 처리실 내로의 상기 원료의 공급 및 배기와, 상기 처리실 내로의 상기 제2 산화원의 공급 및 배기를 교대로 반복함으로써, 상기 제1 고유전율 절연막 상에 제2 고유전율 절연막을 형성하도록, 상기 원료 공급계, 상기 제1 산화원 공급계, 상기 제2 산화원 공급계 및 상기 배기계를 제어하는 컨트롤러
    를 포함하는 것을 특징으로 하는 기판 처리 장치.
KR1020100044225A 2009-05-18 2010-05-12 반도체 장치의 제조 방법 및 기판 처리 장치 KR101177366B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009120224A JP2010267925A (ja) 2009-05-18 2009-05-18 半導体装置の製造方法及び基板処理装置
JPJP-P-2009-120224 2009-05-18

Publications (2)

Publication Number Publication Date
KR20100124210A true KR20100124210A (ko) 2010-11-26
KR101177366B1 KR101177366B1 (ko) 2012-08-27

Family

ID=43068851

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100044225A KR101177366B1 (ko) 2009-05-18 2010-05-12 반도체 장치의 제조 방법 및 기판 처리 장치

Country Status (4)

Country Link
US (1) US20100291763A1 (ko)
JP (1) JP2010267925A (ko)
KR (1) KR101177366B1 (ko)
TW (1) TWI416630B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012104810A (ja) * 2010-09-28 2012-05-31 Imec 半導体デバイスで使用する金属−絶縁体−金属キャパシタの製造方法
KR20170135693A (ko) * 2016-05-31 2017-12-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20210045605A (ko) * 2019-10-17 2021-04-27 무진전자 주식회사 챔버 배기량 자동 조절 시스템

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7883745B2 (en) 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
TWI352615B (en) * 2009-06-09 2011-11-21 Univ Nat Taiwan Science Tech Fluid separation method and fluid seperation appar
JP2012126976A (ja) * 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法
US20120255612A1 (en) * 2011-04-08 2012-10-11 Dieter Pierreux Ald of metal oxide film using precursor pairs with different oxidants
JP2013187324A (ja) * 2012-03-07 2013-09-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
WO2014010405A1 (ja) * 2012-07-13 2014-01-16 株式会社村田製作所 トランジスタおよびトランジスタの製造方法
JP6347548B2 (ja) 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6280487B2 (ja) * 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
KR102350588B1 (ko) * 2015-07-07 2022-01-14 삼성전자 주식회사 인젝터를 갖는 박막 형성 장치
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
JP7128078B2 (ja) * 2018-10-12 2022-08-30 株式会社荏原製作所 除害装置、除害装置の配管部の交換方法及び除害装置の配管の洗浄方法
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0183868B1 (ko) * 1996-05-25 1999-04-15 김광호 강유전체막 및 그의 형성방법
CN1258617C (zh) * 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
JP3863391B2 (ja) * 2001-06-13 2006-12-27 Necエレクトロニクス株式会社 半導体装置
KR20050007496A (ko) * 2003-07-08 2005-01-19 삼성전자주식회사 원자층 적층 방식의 복합막 형성방법 및 이를 이용한반도체 소자의 커패시터 형성방법
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4476880B2 (ja) * 2005-06-24 2010-06-09 株式会社東芝 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
JP2007081410A (ja) * 2005-09-15 2007-03-29 Samsung Electronics Co Ltd 強誘電体膜及び強誘電体キャパシタ形成方法及び強誘電体キャパシタ
JP5097554B2 (ja) * 2005-11-18 2012-12-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4836761B2 (ja) * 2006-11-29 2011-12-14 株式会社日立国際電気 半導体デバイスの製造方法
JP2008166563A (ja) * 2006-12-28 2008-07-17 Elpida Memory Inc 半導体装置および半導体装置の製造方法
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7741202B2 (en) * 2008-08-07 2010-06-22 Tokyo Electron Limited Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012104810A (ja) * 2010-09-28 2012-05-31 Imec 半導体デバイスで使用する金属−絶縁体−金属キャパシタの製造方法
KR20170135693A (ko) * 2016-05-31 2017-12-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
US10290492B2 (en) 2016-05-31 2019-05-14 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20210045605A (ko) * 2019-10-17 2021-04-27 무진전자 주식회사 챔버 배기량 자동 조절 시스템

Also Published As

Publication number Publication date
US20100291763A1 (en) 2010-11-18
TW201104749A (en) 2011-02-01
KR101177366B1 (ko) 2012-08-27
TWI416630B (zh) 2013-11-21
JP2010267925A (ja) 2010-11-25

Similar Documents

Publication Publication Date Title
KR101177366B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101146512B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 시스템
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5721952B2 (ja) 半導体装置、半導体装置の製造方法および基板処理装置
KR101097753B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR100786399B1 (ko) 반도체 처리용 매엽식 열처리 장치 및 방법
KR101210456B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP2013084898A (ja) 半導体装置の製造方法及び基板処理装置
US20090209095A1 (en) Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
US20140242810A1 (en) Substrate processing apparatus and method of supplying and exhausting gas
JP2012104569A (ja) 半導体装置の製造方法及び基板処理装置
KR101178856B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP2009049316A (ja) 半導体装置の製造方法および基板処理装置
JPWO2006090645A1 (ja) 半導体装置の製造方法および基板処理装置
JP2011066345A (ja) 半導体装置の製造方法及び基板処理システム
JP2011134909A (ja) 半導体装置の製造方法及び基板処理システム
JP5944549B2 (ja) 半導体装置の製造方法、基板処理装置および半導体装置
JP2012064857A (ja) 半導体装置の製造方法及び基板処理装置
JP2010212391A (ja) 半導体装置の製造方法及び基板処理装置
JP2009170711A (ja) 半導体装置の製造方法及び基板処理装置
JP2010147417A (ja) 半導体装置の製造方法および基板処理装置
JP2009044088A (ja) 半導体装置の製造方法
JP5174975B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 8