KR20100087327A - 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택 - Google Patents

나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택 Download PDF

Info

Publication number
KR20100087327A
KR20100087327A KR1020107010768A KR20107010768A KR20100087327A KR 20100087327 A KR20100087327 A KR 20100087327A KR 1020107010768 A KR1020107010768 A KR 1020107010768A KR 20107010768 A KR20107010768 A KR 20107010768A KR 20100087327 A KR20100087327 A KR 20100087327A
Authority
KR
South Korea
Prior art keywords
mold
porous
layer
porous material
imprinting stack
Prior art date
Application number
KR1020107010768A
Other languages
English (en)
Other versions
KR101610180B1 (ko
Inventor
프랭크 와이. 주
웨이준 리우
에드워드 비. 플레쳐
시들가타 브이. 스레니바산
병진 최
니야즈 쿠스나트디노브
앤슈만 체랄라
코스타 셀리니디스
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20100087327A publication Critical patent/KR20100087327A/ko
Application granted granted Critical
Publication of KR101610180B1 publication Critical patent/KR101610180B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)
  • Printing Plates And Materials Therefor (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)

Abstract

임프린트 리소그래피 주형 또는 임프린팅 스택은 적어도 약 0.4nm의 평균 공극 크기를 가진 다수의 공극을 한정하는 다공성 재료를 포함한다. 다공성 재료의 다공도는 적어도 약 10%이다. 주형과 임프린팅 스택 사이에 갇힌 기체가 주형, 임프린팅 스택 또는 이들 둘 다로 확산되는 것을 촉진하기 위해 다공성 주형, 다공성 임프린팅 스택, 또는 이들 둘 다가 임프린트 리소그래피 공정에 사용될 수 있으며, 이로써 임프린팅 스택과 주형 사이의 중합성 재료에 의해 임프린팅 스택과 주형 사이에 실질적으로 연속층이 빠르게 형성된다.

Description

나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택{POROUS TEMPLATE AND IMPRINTING STACK FOR NANO-IMPR1NT LITHOGRAPHY}
관련 출원의 참조
본 출원은 미국 35 U. S. C. § 119(e)(1) 하에 2007년 11월 21일 제출된 미국 가 출원 60/989,681과 2007년 12월 3일 제출된 미국 가 출원 60/991,954의 우선권을 주장하며, 이들은 모두 본원에 참고자료로 포함된다.
연방정부 지원 연구 또는 개발에 관한 진술
미국 정부는 NIST ATP AWARD 70NANB4H3012의 조항에 의해 제공되는바, 본 발명에 대한 지불완료 라이센스 및 제한된 상황에서 타당한 액수를 지불받고 타인에게 라이센스를 줄 것을 요청할 권리를 가진다.
나노-제작은 100 나노미터 이하 차수의 피쳐를 갖는 매우 작은 구조의 제작을 포함한다. 나노-제작이 큰 영향을 가진 한 분야는 집적회로의 가공이다. 반도체 가공 산업은 기판상에 형성되는 단위면적당 회로를 증가시키면서 더 높은 생산 수율을 얻고자 계속 노력하고 있으며, 따라서 나노-제작이 점점 중요해지고 있다. 나노-제작은 형성된 구조의 최소 피쳐 치수의 감소를 계속 가능하게 하면서 더 우수한 공정 제어를 제공한다. 나노-제작이 채택되고 있는 다른 개발 분야는 생체기술, 광학기술, 기계 시스템 등을 포함한다.
한 양태에서, 임프린트 리소그래피 주형 또는 임프린팅 스택은 다수의 공극을 한정하는 다공성 재료를 포함하며, 평균 공극 크기는 적어도 약 0.4nm이다. 다공성 재료의 다공도는 적어도 약 10%이다.
어떤 구체예에서, 평균 공극 크기는 적어도 약 0.5nm 또는 적어도 약 1.0nm이다. 다공성 재료의 다공도는 적어도 약 20%일 수 있다. 어떤 경우, 다공성 재료는 유기규산염 저-k 재료이다. 융합 실리카와 비교하여 다공성 재료의 상대 다공도는 적어도 약 20%일 수 있다. 어떤 경우, 다공성 재료는 적어도 약 2 GPa, 적어도 약 5 GPa, 또는 적어도 약 10 GPa의 영률을 가진다.
주형의 어떤 구체예에서, 다공성 재료는 기저층과 상부층 사이에 위치된다. 기저층은 융합 실리카를 포함할 수 있다. 어떤 경우, 기저층은 홈을 포함하고, 다공성 재료가 홈에 위치된다. 어떤 경우, 상부층은 SiOx를 포함하며, 1≤x≤2이다. 상부층의 두께는 약 100nm 미만, 약 50nm 미만, 또는 약 20nm 미만일 수 있다. 상부층으로부터 돌출부가 연장될 수 있다.
임프린팅 스택의 어떤 구체예에서, 다공성 재료는 기판과 상부층 사이에 위치된다. 기판은 규소를 포함할 수 있다.
또 다른 양태로서, 임프린트 리소그래피 주형의 형성은 기저층 위에 다공층을 형성하는 단계, 및 다공층 위에 상부층을 형성하는 단계를 포함한다. 다른 추가 양태에서, 임프린트 리소그래피 주형의 형성은 기저에 다수의 홈을 형성하는 단계, 다공성 재료를 홈에 침착시키는 단계, 및 기저층 위에 상부층을 형성하는 단계를 포함한다. 다른 추가 양태에서, 임프린트 리소그래피 스택의 형성은 기판 위에 다공층을 형성하는 단계, 및 다공층 위에 상부층을 형성하는 단계를 포함한다. 다공층은 다수의 공극을 한정하며, 평균 공극 크기는 적어도 약 0.4nm이고, 다공층의 다공도는 적어도 약 10%이다.
다른 양태에서, 임프린트 리소그래피 방법은 임프린팅 스택에 중합성 재료의 드롭을 적용하는 단계, 중합성 재료를 주형과 접촉시키는 단계, 중합성 재료를 고화시키는 단계, 및 고화된 재료에서 주형을 분리하는 단계를 포함한다. 어떤 경우, 주형은 다공성 재료를 포함한다. 어떤 경우, 임프린팅 스택은 다공성 재료를 포함한다. 어떤 경우, 주형과 임프린팅 스택이 둘 다 다공성 재료를 포함한다. 다공성 재료는 다수의 공극을 한정하며, 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%이다.
다른 양태에서, 임프린트 리소그래피 방법은 임프린팅 스택의 표면에 중합성 재료의 드롭을 분배하는 단계, 중합성 재료를 주형과 접촉시키는 단계, 및 중합성 재료가 펼쳐져서 임프린팅 스택의 표면에 실질적으로 연속층이 형성되도록 하는 단계를 포함한다. 주형, 임프린팅 스택, 또는 이들 둘 다가 다수의 공극을 한정하는 다공성 재료를 포함할 수 있으며, 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%이다. 중합성 재료가 펼쳐져 실질적으로 연속층이 형성되는 것은 유사한 중합성 재료가 유사하게 분포되어 제 2 주형과 제 2 임프린팅 스택 사이에 실질적으로 연속층이 형성되는데 필요한 시간의 약 80% 이하, 약 50% 이하, 또는 약 20% 이하에서 발생하며, 이때 제 2 주형 및 제 2 임프린팅 스택은 약 0.4nm 미만의 평균 공극 크기를 가진다.
본 발명이 더 상세히 이해될 수 있도록, 본 발명의 구체예에 대한 설명이 첨부된 도면에 예시된 구체예를 참조하여 제공된다. 그러나, 첨부된 도면은 단지 본 발명의 전형적인 구체예를 예시하는 것일 뿐이고, 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주지되어야 한다.
도 1은 리소그래피 시스템의 단순화된 측면도이다.
도 2는 패턴층이 위에 배치된 도 1에 도시된 기판의 단순화된 측면도이다.
도 3은 다공성 주형을 도시한다.
도 4는 다공성 임프린팅 스택을 도시한다.
도 5는 다수의 홈 안에 다공성 재료가 들어가 있는 층의 일부를 도시한다.
도 6은 다공성 주형과 다공성 임프린팅 스택을 이용한 임프린트 리소그래피 과정을 도시한다.
도 7은 다공성 주형과 다공성 임프린팅 스택의 단일체를 이용한 임프린트 리소그래피 과정을 도시한다.
도 8은 임프린트 레지스트의 드롭이 기판 위에 분배된 사진이다.
도 9A-9D는 임프린트 레지스트의 드롭이 임프린팅 스택 위에 펼쳐진 사진이다.
도 10A-10D는 임프린트 레지스트의 드롭이 다공성 임프린팅 스택 위에 펼쳐진 사진이다.
오늘날 사용되고 있는 전형적인 나노-제작 기술을 통상 임프린트 리소그래피라고 한다. 전형적인 임프린트 리소그래피 공정이 많은 간행물에 상세히 설명되어 있으며, 예를 들어 미국 특허출원 공개 No. 2004/0065976, 미국 특허출원 공개 No. 2004/0065252, 및 미국 특허 No. 6,936,194를 참조하면 되고, 이들은 모두 본원에 참고자료로 포함된다.
전술한 미국 특허출원 공개 및 특허에 각각 설명된 임프린트 리소그래피 기술은 성형층(중합성 층)에 릴리프 패턴을 형성하고, 릴리프 패턴에 상응하는 패턴을 하부 기판으로 전사하는 것을 포함한다. 패턴화 공정에서 위치지정을 용이하게 하려고 기판은 모션 스테이지와 결합될 수 있다. 패턴화 공정은 기판과 이격되어 있는 주형을 사용하며, 주형과 기판 사이에 성형성 액체가 적용된다. 성형성 액체는 고화되어 성형성 액체가 접촉된 주형의 표면 모양에 부합하는 패턴을 가진 단단한 층을 형성한다. 고화 후, 단단한 층에서 주형이 분리되고, 주형과 기판이 이격된다. 다음에, 기판과 고화된 층에 추가의 공정을 수행하여 고화된 층에 있는 패턴에 상응하는 릴리프 이미지를 기판에 전사한다.
도 1을 보면, 기판(12) 위에 릴리프 패턴을 형성하는데 사용되는 리소그래피 시스템(10)이 도시된다. 임프린트 리소그래피 스택은 기판(12) 및 기판에 부착된 하나 이상의 층(예를 들어, 부착층)을 포함할 수 있다. 기판(12)은 기판 척(14)에 결합될 수 있다. 도시된 대로, 기판 척(14)은 진공 척이다. 그러나, 기판 척(14)은 어떤 척이라도 가능하며, 제한되지는 않지만, 진공, 핀-타입, 그루브-타입, 전자기 등이나, 또는 이들의 어떤 조합을 포함한다. 예시되는 척이 본원에 참고자료로 포함되는 미국 특허 No. 6,873,087에 설명된다.
기판(12)과 기판 척(14)은 또한 스테이지(16)에 의해 지지될 수 있다. 스테이지(16)는 x-축, y-축 및 z-축을 따른 동작을 제공할 수 있다. 스테이지(16), 기판(12) 및 기판 척(14)은 또한 기저(도시되지 않음) 위에 위치될 수도 있다.
주형(18)은 기판(12)으로부터 이격되어 있다. 주형(18)은 주형으로부터 기판(12)을 향해 연장된 메사(20)를 포함할 수 있고, 메사(20)는 그 위에 패턴화 표면(22)을 가진다. 또한, 메사(20)를 몰드(20)라고도 할 수 있다. 주형(18) 및/또는 몰드(20)는, 제한되지는 않지만, 융합 실리카, 석영, 규소, 유기 중합체, 실록산, 중합체, 붕규산 유리, 플루오로카본 중합체, 금속, 경질 사파이어 등이나, 또는 이들의 조합을 포함하는 재료로부터 형성될 수 있다. 도시된 대로, 패턴화 표면(22)은 복수의 이격된 홈(24) 및/또는 돌출부(26)에 의해서 한정된 피쳐를 포함하지만, 본 발명의 구체예들이 이러한 형태에만 제한되는 것은 아니다. 패턴화 표면(22)은 기판(12) 위에 형성될 패턴의 기초를 형성하는 어떤 모 패턴이라도 한정할 수 있다.
주형(18)은 척(28)에 결합될 수 있다. 척(28)은, 제한되지는 않지만, 진공, 핀-타입, 그루브-타입, 전자기, 및/또는 다른 유사한 척 타입으로 구성될 수 있다. 예시되는 척이 본원에 참고자료로 포함되는 미국 특허 No. 6,873,087에 더 설명된다. 또한, 척(28)은 척(28) 및/또는 임프린트 헤드(30)가 주형(18)의 움직임을 용이하게 하도록 구성될 수 있도록 임프린트 헤드(30)에 결합될 수 있다.
시스템(10)은 유체 분배 시스템(32)을 더 포함할 수 있다. 유체 분배 시스템(32)을 사용하여 기판(12) 위에 중합성 재료(34)를 침착시킬 수 있다. 중합성 재료(34)는 드롭 디스펜스, 스핀 코팅, 딥 코팅, 화학증착(CVD), 물리증착(PVD), 박막증착, 후막증착 등이나, 또는 이들의 어떤 조합 같은 기술을 이용하여 기판(12) 위에 위치될 수 있다. 중합성 재료(34)(예를 들어, 임프린트 레지스트)는 디자인 요건에 따라서 몰드(20)와 기판(12) 사이에 원하는 부피가 한정되기 전 및/또는 후에 기판(12) 위에 배치될 수 있다. 중합성 재료(34)는 미국 특허 No. 7,157,036과 미국 특허출원 공개 No. 2005/0187339에 설명된 성분을 포함할 수 있으며, 이들은 모두 본원에 참고자료로 포함된다.
도 1 및 2를 보면, 시스템(10)은 경로(42)를 따라서 에너지(40)를 보내도록 결합된 에너지원(38)을 더 포함할 수 있다. 임프린트 헤드(30)와 스테이지(16)는 주형(18)과 기판(12)을 경로(42)와 중첩되게 위치시킬 수 있도록 구성될 수 있다. 스테이지(16), 임프린트 헤드(30), 유체 분배 시스템(32), 에너지원(38), 또는 이들의 어떤 조합과 통신하는 프로세서(54)에 의해 시스템(10)이 조절될 수 있으며, 메모리(56)에 저장된 컴퓨터 판독 프로그램으로 운영될 수 있다.
임프린트 헤드(30), 스테이지(16), 또는 이들 둘 다는 몰드(20)와 기판(12) 사이의 거리를 변경하여 이들 사이의 원하는 부피를 한정할 수 있고, 이 부피는 중합성 재료(34)로 실질적으로 채워진다. 예를 들어, 임프린트 헤드(30)는 몰드(20)가 중합성 재료(34)와 접촉하도록 주형(18)에 힘을 가할 수 있다. 원하는 부피가 중합성 재료(34)로 실질적으로 채워진 후, 에너지원(38)이 에너지(40), 예를 들어 광대역 자외선을 생성하여 중합성 재료(34)를 기판(12)의 표면(44)의 모양에 부합하도록 고화 및/또는 가교-결합시키고, 이로써 표면(22)이 패턴화되어 기판(12) 위에 패턴화된 층(46)이 한정된다. 패턴화된 층(46)은 잔류층(48) 및 돌출부(50)와 홈(52)으로 나타낸 복수의 피쳐를 포함할 수 있으며, 돌출부(50)는 두께 t1, 잔류층(48)은 두께 t2를 가진다.
상기 설명된 시스템 및 공정은 또한 미국 특허 No. 6,932,934, 미국 특허출원 공개 No. 2004/0124566, 미국 특허출원 공개 No. 2004/0188381, 및 미국 특허출원 공개 No. 2004/0211754에서 언급된 임프린트 리소그래피 공정 및 시스템에서도 실시될 수 있으며, 이들은 각각 참고자료로 본원에 포함된다.
중합성 재료가 드롭 디스펜스 또는 스핀 코팅 방법에 의해 기판에 적용되는 나노-임프린트 공정에서는 주형과 중합성 재료의 접촉 후 주형의 홈 내부에 기체가 갇힐 수 있다. 중합성 재료가 드롭 디스펜스 방식에 의해 기판에 적용되는 나노-임프린트 공정에서도 기체가 기판 위나 임프린팅 스택 위에 분배된 중합성 재료 또는 임프린트 레지스트의 드롭들 사이에 기체가 갇힐 수 있다. 즉, 드롭이 펼쳐짐에 따라서 드롭들 사이의 간극 영역에 기체가 갇힐 수 있다.
기체 제거 및 용해 속도는 주형과 중합성 재료의 접촉 후 중합성 재료가 기판(또는 임프린팅 스택) 위에 연속층을 형성할 수 있는 속도, 또는 중합성 재료가 주형 피쳐를 채울 수 있는 속도를 제한할 수 있으며, 이로써 나노-임프린트 공정의 처리량이 제한된다. 예를 들어, 기판 또는 주형은 기판과 주형 사이에 갇힌 기체에 대해 실질적으로 불투과성일 수 있다. 어떤 경우, 기판이나 주형에 부착된 중합층이 기체로 포화될 수 있으며, 이로써 임프린팅 스택과 주형 사이의 기체가 포화된 중합층으로 실질적으로 진입할 수 없게 되고, 기판과 기판 또는 임프린팅 스택 사이에 갇힌 상태로 있게 된다. 기판 또는 임프린팅 스택과 주형 사이에 갇힌 채로 있는 기체는 패터닝된 층에 충전 결함을 일으킬 수 있다.
임프린트 리소그래피 공정에서, 기판/임프린팅 스택과 주형 사이에 갇힌 기체는 중합성 재료, 기판/임프린팅 스택, 주형, 또는 이들의 어떤 조합을 통과해 빠져나갈 수 있다. 어떤 매체를 통과해 빠져나가는 기체 양은 갇힌 기체와 매체 사이의 접촉 면적에 영향을 받을 수 있다. 갇힌 기체와 중합성 재료 사이의 접촉 면적은 갇힌 기체와 기판/임프리팅 스택 사이의 접촉 면적보다 적고 갇힌 기체와 주형 사이의 접촉 면적보다 적을 수 있다. 예를 들어, 기판/임프린팅 스택 위의 중합성 재료의 두께는 약 1μm 미만, 또는 약 100nm 미만일 수 있다. 어떤 경우, 중합성 재료가 임프린팅 전에 기체로 포화될 만큼 충분한 기체를 흡수할 수 있으며, 이로써 갇힌 기체가 중합성 재료로 실질적으로 진입할 수 없게 된다. 반면에, 갇힌 기체와 기판 또는 임프린팅 스택 사이의 접촉 면적, 또는 갇힌 기체와 주형 사이의 접촉 면적은 상대적으로 클 수 있다.
어떤 경우, 기판/임프린팅 스택 또는 주형은 다수의 공극을 한정하는 다공성 재료를 포함할 수 있으며, 평균 공극 크기 및 공극 밀도 또는 상대 다공도는 각각 기판/임프린팅 스택 또는 주형 내로 기체의 확산이 촉진되도록 선택된다. 어떤 경우, 기판/임프린팅 스택 또는 주형은 기판/임프린팅 스택과 기판 사이의 중합성 재료로부터 멀리 떨어져 기판/임프린팅 스택 또는 주형을 향하는 방향으로 기판/임프린팅 스택과 주형 사이에 갇힌 기체의 수송을 각각 촉진하도록 설계된 다공성 재료의 하나 이상의 층 또는 영역을 포함할 수 있다.
매체의 기체 투과도는 P = D x S로 표시될 수 있으며, 여기서 P는 투과도, D는 확산계수, S는 용해도이다. 기체 수송 과정에서 기체가 매체의 표면 위에 흡착되고, 매체 내부에 농도 기울기가 확립된다. 농도 기울기는 매체를 통한 기체 확산의 추진력으로 작용할 수 있다. 기체 용해와 확산계수는, 예를 들어 매체의 충진 밀도에 기초하여 변화할 수 있다. 매체의 충진 밀도를 조정하여 확산계수와 그에 따른 매체의 투과도를 변화시킬 수 있다.
기체는 관련된 동적 직경(kinetic diameter)을 가질 거라고 생각될 수 있다. 동적 직경은 기체 수송 특성에 따른 기체 원자 또는 분자의 크기에 대해 아이디어를 제공한다. 본원에 참고자료로 포함되는 D.W. Breck, Zeolite Molecular Sieves - Structure, Chemistry, and Use, John Wiley & Sons, New York, 1974, p. 636에 헬륨(0.256nm), 아르곤(0.341nm), 산소(0.346nm), 질소(0.364nm), 및 다른 일반적 기체에 대한 동적 직경이 등재되어 있다.
어떤 임프린트 리소그래피 공정에서는 헬륨 퍼지를 사용하여 주형과 기판 또는 임프린팅 스택 사이의 공기를 헬륨 기체로 실질적으로 치환한다. 임프린트 리소그래피 공정에서 헬륨 환경과 공기 환경의 비교를 단순화하기 위해서, 순수 아르곤으로서 공기를 모델링함으로써 공기 중의 산소와 실리카의 극성 상호작용이 무시될 수 있다. 헬륨과 아르곤은 모두 비활성 기체이고, 아르곤은 산소와 유사한 동적 직경을 가진다. 그러나, 산소와 달리, 헬륨과 아르곤은 융합 실리카나 석영(예를 들어, 주형이나 기판 중의)과 화학적으로 상호작용하지 않는다.
내부 공동(용해 자리) 및 용해 자리들을 연결하는 구조 채널이 매체를 통한 기체의 투과를 허용한다. 기체는 용해 자리들에 보유될 수 있다. 기체의 크기(또는 동적 직경)에 상대적인 내부 공동의 크기와 채널 직경이 기체가 매체를 투과하는 속도에 영향을 미친다.
융합 실리카의 각 간극 용해 자리의 크기는 본원에 참고자료로 포함되는 J. F. Shackelford in J. Non-Cryst. Solids 253, 1999, 23에 의한 로그-정규 분포에 따라서 나타내졌다. 간극 직경 분포(모드 = 0.181nm; 평균 = 0.196nm)와 헬륨 및 아르곤의 동적 직경에 의해 표시된 대로, 헬륨이 이용가능한 융합 실리카 용해 자리의 수는 아르곤이 이용가능한 용해 자리의 수를 초과한다. 간극 부위의 총 수는 ㎥ 당 2.2 x 1028이고, 여기서 헬륨 용해 자리는 ㎥ 2.3 x 1027, 아르곤 용해 자리는 ㎥ 당 1.1 x 1026이다. 헬륨의 용해 자리들 사이의 평균 거리는 0.94nm라고 생각되고, 아르곤의 용해 자리들 사이의 평균 거리는 2.6nm라고 생각된다. 이들 용해 자리들을 연결하는 구조 채널은 직경 약 0.3nm의 6-원 Si-O 고리의 나선 배열과 유사하다고 생각된다. 표 1에 융합 실리카에서 헬륨과 아르곤 투과도에 영향을 미치는 일부 파라미터를 요약한다.
Figure pct00001
Boiko(G. G. Boiko, etc., Glass Physics and Chemistry, Vol. 29, No. 1, 2003, pp. 42-48, 본원에 참고자료로 포함된다)는 비정질 또는 유리질 실리카에서의 헬륨의 거동을 설명한다. 용해 자리 안에서 헬륨 원자는 간극 부피에 의해 허용되는 진폭으로 진동한다. 이 원자는 채널을 통과해 틈에서 틈으로 지나가며, 채널의 직경은 틈의 직경보다 작을 수 있다.
표 1에 기재된 파라미터는 융합 실리카에서의 아르곤 투과도가 실온에서 매우 낮거나 무시될 수 있음을 나타낸다(즉, 아르곤의 반응속도학적 직경이 융합 실리카 채널 크기를 초과한다). 산소와 질소의 동적 직경이 아르곤의 동적 직경보다 더 크기 때문에, 공기는 실질적으로 융합 실리카를 투과할 수 없다. 한편, 헬륨은 융합 실리카 안으로 확산되어 그것을 투과할 수 있다. 따라서, 나노-임프린트 공정에서는 주변 공기보다도 오히려 헬륨 환경이 사용된다면, 주형과 기판 또는 임프린팅 스택 사이에 갇힌 헬륨이 융합 실리카 주형을 투과할 수 있다.
도 3은 기판(12)과 다공성 주형(300) 사이의 중합성 재료(34)의 측면도이며, 나노-임프린트 리소그래피에 사용되는 다양한 다공성 주형 구체예의 확대된 단면도를 함께 도시한다. 화살표는 주형(300)으로의 기체 수송의 방향을 표시한다.
주형(300A)은 기저층(304)과 상부층(306) 사이에 있는 다공층(302)을 포함한다. 다공층(302)은 화학증착(CVD), 스핀-코팅, 열성장법 등에 의해서 기저층(304) 위에 형성될 수 있다. 다공층(302)의 두께는 적어도 약 10nm일 수 있다. 예를 들어, 다공층(302)의 두께는 약 10nm 내지 약 100μm의 범위, 또는 약 100nm 내지 약 10μm의 범위일 수 있다. 어떤 경우, 다공층(302)이 두꺼울수록, 예를 들어 UV 투명도, 열팽창 등의 관련 성능을 실질적으로 감소시키지 않고 더 높은 유효 투과도를 제공할 수 있다.
다공층(302)은, 제한되지는 않지만, 애노드화 α-알루미나; 유기실란, 유기실리카, 또는 유기규산염 재료; 유기 중합체; 무기 중합체, 및 이들의 어떤 조합을 포함하는 재료로 제조될 수 있다. 어떤 구체예에서, 다공성 재료는 저-k, 다공성 저-k, 또는 초-저-k 유전체 필름, 예를 들어 전자 및 반도체 분야에서 사용되는 스핀-온 글라스(SOG)일 수 있다. 다공성 재료는 피라냐 리클레임(Piranha reclaim) 공정을 포함하여, 나노-임프린트 리소그래피 공정에서의 반복 사용을 견딜 수 있도록 선택될 수 있다. 기저층(304)과 상부층(306)에 다공층(302)의 부착력은, 예를 들어 임프린트 리소그래피 공정에서 형성된 패턴화된 층에서 주형을 분리하는데 필요한 힘의 적어도 약 3배일 수 있다. 어떤 구체예에서, 다공성 재료는 UV 선에 실질적으로 투명할 수 있다. 다공성 재료의 인장계수(tensile modulus)는, 예를 들어 적어도 약 2 GPa, 적어도 약 5 GPa, 또는 적어도 약 10 GPa일 수 있다.
공정 조건 및 재료를 변화시킴으로써 상이한 공극 크기와 공극 밀도(예를 들어, 다공도 또는 상대 다공도)를 가진 다공층이 생성될 수 있다. 어떤 경우, 예를 들어 이온 포격에 의해 재료에 공극을 형성할 수 있다. 다공층(302)은 융합 실리카보다 더 큰 공극 크기 및 더 큰 다공도를 가진 공극(308)을 가질 수 있다. 본원에서 사용된 "다공도"는 고형물 중에서 채널 및 개방 공간에 의해서 점유된 비율을 전체 부피의 퍼센트로서 나타낸 것을 말한다. 다공층(302)의 다공도는 약 0.1% 내지 약 60%, 또는 약 5% 내지 약 45%의 범위일 수 있다. 어떤 경우, 다공층(302)의 다공도는 적어도 약 10% 또는 적어도 약 20%일 수 있다. 유사한 재료의 상대 다공도는 재료 밀도의 상대적 차이로서 정의될 수 있다. 예를 들어, 융합 실리카(밀도 ρ융합 실리카 = 2.2g/㎤)에 대한 SOG(밀도 ρSOG = 1.4g/㎤)의 상대 밀도는 100% x (ρ융합 실리카 - ρSOG)/ρ융합 실리카로서 계산될 수 있거나, 36%이다. 융합 실리카는 산소-규소 결합을 포함하는 다른 재료들에 대한 기준 물질로서 사용될 수 있다. 어떤 구체예에서, 융합 실리카에 대한 산소-규소 결합을 포함하는 다공성 재료의 상대 다공도는 적어도 약 10%, 적어도 약 20%, 또는 적어도 약 30%이다.
다공성 재료에서 공극들의 크기는 잘 제어될 수 있다(예를 들어, 실질적으로 균일하게, 아니면 원하는 분포도로). 어떤 경우, 공극 크기 또는 평균 공극 크기는 약 10nm 미만, 약 3nm 미만, 또는 약 1nm 미만이다. 어떤 경우, 공극 크기 또는 평균 공극 크기는 적어도 약 0.4nm, 적어도 약 0.5nm, 또는 그 이상이다. 즉, 공극 크기 또는 평균 공극 크기는 기판/임프린팅 스택과 주형(300A) 사이에 갇혔을 때 기체가 주형의 다공층(302)으로 확산될 수 있도록 기체를 위한 충분한 수의 용해 자리를 제공할 만큼 충분히 클 수 있다.
실세스퀴옥산 중합체가 전형적인 다공성 재료이다. Zhang 등은 본원에 참고자료로 포함되는 "Highly Porous Polyhedral Silsesquioxane Polymers. Synthesis and Characterization," J. Am. Chem. Soc. 1998, 120, 8380-8391에서 실세스퀴옥산 중합체에 존재하는 작은 인트라큐브 공극과 큰 인터큐브 공극을 설명한다. 인트라큐브 공극은 약 0.3-0.4nm의 구형이다. 인터큐브 공극은 직경 0.5-0.6nm, 길이 1.0-1.2nm의 타원형이다. 본원에서 논의된 대로, 실세스퀴옥산 중합체와 같은 적어도 약 0.4nm의 직경을 가진 공극은 용해 자리의 치수보다 작은 동적 직경을 기체를 흡수하는데 적합한 크기와 모양의 용해 자리를 제공할 것으로 생각된다. 어떤 경우, 다공성 재료의 용해 자리의 구조는 흡수된 기체가 재료로부터 확산하기보다는 오히려 용해 자리에 실질적으로 보유되도록 허용할 수 있다.
다공층의 다공도 및 공극 크기를 증가시키기 위해 다공층(302)을 형성하는데 사용되는 재료에 포로젠(porogen)이 첨가될 수 있다. 포로젠은, 예를 들어 기화될 수 있는 유기 화합물, 예를 들어 노르보르넨, α-테르피넨, 폴리에틸렌 옥시드, 및 폴리에틸렌 옥시드/폴리프로필렌 옥시드 공중합체 등, 및 이들의 어떤 조합을 포함한다. 포로젠은, 예를 들어 선형이거나 별모양일 수 있다. 포로젠 및 공정 조건은, 예를 들어 약 2nm 미만의 평균 공극 직경을 가진 미소다공성 저-k 다공층을 형성하도록 선택될 수 있으며, 이로써 일군의 기체에 대한 용해 자리의 수가 증가한다. 이에 더하여, 포로젠의 도입과 증가된 다공도는 기체 용해 자리들을 연결하는 구조 채널을 확장시킬 수 있다. 약 0.4nm 이상의 공극 크기에서 저-k 필름의 헬륨 투과도는 유리질 융합 실리카의 헬륨 투과도를 초과할 수 있다.
기저층(304)과 상부층(306)은 같은 재료로 제조될 수도 있고 상이한 재료로 제조될 수도 있다. 어떤 구체예에서, 기저층(304)은 융합 실리카일 수 있고, 상부층(306)은 증착법을 통해 성장된 SiOx를 포함할 수 있으며, 이때 1 ≤ x ≤ 2이다. 상부층(306)의 두께 및 조성은 기계 강도와 선택된 표면 특성뿐만 아니라, 임프린트 리소그래피 공정에서 기판/임프린팅 스택과 주형 사이에 갇힐 수 있는 기체들에 대한 투과도롤 제공하도록 선택될 수 있다. 어떤 구체예에서, 상부층(306)의 두께는 약 100nm 미만, 약 50nm 미만, 또는 약 20nm 미만이다. 예로서, 상부층(306)은 약 10nm 두께이다. 상부층(306)은 임프린트 리소그래피 공정 동안 바람직한 습윤 성능과 방출 성능이 달성되도록 선택된 재료에 의해 형성될 수 있다. 또한, 상부층(306)은 상부층을 통한 다공층(302)으로의 기체 확산은 허용하면서 다공층으로의 중합성 재료(34)의 침투를 억제할 수 있다.
다층 필름에서 유효 투과도는 저항 모델로부터 계산될 수 있으며, 저항 모델은, 예를 들어 본원에 참고자료로 포함되는 F. Peng, et al. in J. Membrane Sci. 222 (2003) 225-234 및 A. Ranjit Prakash et al. in Sensors and Actuators B 113 (2006) 398-409에 의해 설명된 전기회로와 유사하다. 증기 투과에 대한 재료의 저항은 투자 저항(permeance resistance), Rp로서 정의된다. 층 두께 l 1 l 2 와 상응하는 투과도 P 1 P 2 를 가진 2-층 복합 필름에서 투자 저항은 다음 식으로서 정의될 수 있다:
Figure pct00002
상기에서, Δp는 필름을 가로지른 압력차, J는 플럭스, A는 면적이다. 저항 모델은 다음을 예측한다:
Figure pct00003
두 재료 1과 2의 단면적이 동일할 때, 식 (2)는 다음과 같이 다시 쓸 수 있다:
Figure pct00004
두께가 약 10nm이고 투과도 P 1 인 SiOx의 상부층(306)을 가진 주형(300A)에서 다공층(302)의 다공도와 공극 크기를 선택함으로써 주형 투과도가 조정될 수 있다. 두께가 310nm인 다층 복합 임프린팅 스택의 유효 투과도에 대한 다공층(302)의 투과도 및 두께의 효과를 표 2에 나타낸다.
Figure pct00005
표 2는 다공층만의 두께 증가가 다공층만의 투과도를 증가시키는 것보다 더 높은 유효 투과도를 제공할 수 있음을 시사한다. 즉, 다공층 두께가 300nm이고 상부층 두께가 10nm이면, 100 P 1 에서 1000 P 1 까지 다공층 투과도의 10배 증가가 유효 투과도를 23.8 P 1 에서 30.1 P 1 까지 증가시킨다. 100nm, 200nm 및 300nm의 다공층 두께와 10nm의 상부층 두께를 가진 복합 임프린팅 스택에서 유효 투과도는 다공층 두께의 200nm 증가에 걸쳐 각각 1.5 P 1 에서 2.8 P 1 을 거쳐 30.1 P 1 까지 20배 증가한다.
다른 구체예에서, 돌출부(310)가 상부층(306)으로부터 연장될 수 있다. 예로서, 기저층(예를 들어, 석영)에 500nm 두께로 다공층(예를 들어, 유기규산염 저-k 필름)을 침착시키고, 다공층 위에 100nm 두께로 상부층(예를 들어, SiOx)을 성장시킴으로써 주형(300B)이 형성될 수 있다. 상부층을 후면 에칭하여 90nm 높이의 돌출부를 형성한다. 본원에서 사용될 때, 상부층(306)의 두께는 돌출부(310)의 높이를 독립적으로 고려한다. 따라서, 이 예에서 상부층은 10nm 두께로 간주되고, 상부층으로부터 90nm 높이의 돌출부가 연장된 상태이다. 주형 표면의 적어도 약 50%는 SiOx의 10nm 두께 외피를 가지고(즉, 주형 표면적의 약 50%는 돌출부로 덮인다), 그 밑에 500nm 두께의 다공층이 존재한다. 돌출부가 없는 상부층 부분들을 통해 헬륨이 더 빠르게 확산될 수 있으며, 이로써 헬륨 투과도의 전체적인 증가가 달성되고, 이 투과도는 적어도 부분적으로 다공층의 두께, 상부층의 두께, 및 돌출부가 없는 주형의 표면적의 비율에 의존한다.
주형은 기체의 확산이 허용되도록 선택된 다공도 및 평균 공극 크기를 가진 단일체 구조로서 형성될 수 있다. 예를 들어, 유기 중합체, 무기 재료(예를 들어, 탄화규소, 도핑 실리카, VYCOR®) 등, 또는 이들의 어떤 조합으로부터 제조된 주형은 더 낮은 충진 밀도를 가질 수 있으며, 따라서 유리질 융합 실리카보다 기체(예를 들어, 헬륨) 투과성이 더 높다. 도 3은 주형(300C)을 도시한다. 주형(300C)은 단일 다공층(302)으로 필수적으로 구성된다. 다공층(302)은 기저층에 부착되지 않는다. 이 다공층은 적어도 약 0.4nm의 평균 공극 크기와 적어도 약 10%의 다공도를 가질 수 있다.
주형(300D)은 상부층(306)과 함께 다공층(302)을 포함한다. 상부층(306)은, 예를 들어 SiOx일 수 있다. 주형(300C)과 마찬가지로, 다공층은 기저층에 부착되지 않는다. 상부층(306)은 중합성 재료의 다공성 재료로의 침투를 억제할 수 있다. 또한, 상부층(306)은 원하는 표면 특성, 기계 특성 등을 주형에 부여할 수 있다.
임프린팅 스택은 기판 및 기판에 부착된 층을 포함할 수 있다. 다층 임프린팅 스택은 함께 부착된 하나 이상의 추가 층을 포함할 수 있으며, 이로써 다층 복합체가 형성된다. 기판은, 예를 들어 규소 웨이퍼일 수 있다. 기판에 부착된 층은, 예를 들어 유기 중합체 재료, 무기 중합체 재료, 또는 이들의 어떤 조합을 포함할 수 있다. 기판, 층, 또는 이들의 어떤 조합의 공극 크기 및 다공도는 임프린팅 스택을 통한 기체의 확산이 허용되도록 선택될 수 있으며, 이로써 임프린트 리소그래피 공정 동안 갇힌 기체의 감소와 주형에 있는 피쳐의 충전이 촉진된다.
도 4는 주형(18)과 임프린팅 스택(400) 사이의 중합성 재료(34)를 도시한다. 화살표는 임프린팅 스택으로의 기체 수송 방향을 표시한다. 어떤 경우, 임프린팅 스택(400)의 기체 투과도는 임프린팅 스택의 두께를 증가시킴으로써 증가될 수 있다. 어떤 구체예에서, 임프린팅 스택의 두께 증가는 스택의 기체 흡수 용량을 개선하고, 퍼지 동안 기체 포화 가능성을 감소시킬 수 있다.
어떤 구체예에서, 임프린팅 스택(400)의 확대된 단면도에 묘사된 대로, 임프린팅 스택은 기판(12) 위에 형성된 다공층(402)을 포함할 수 있다. 다공층(402)은 공극(408)을 가질 수 있으며, 예를 들어 유기규산염 저-k 필름일 수 있다. 다공층(402) 위에 상부층(406)이 형성될 수 있다. 다공층(402)의 두께는 의도된 용도에 따라서 약 50nm 내지 수 μm의 범위일 수 있다. 다공층에서 공극 크기는 잘 제어될 수 있다(예를 들어, 실질적으로 균일하게, 아니면 기지의 분포도로).
어떤 구체예에서, 다공층(402)의 공극 크기 또는 평균 공극 크기는 약 10nm 미만, 약 3nm 미만, 또는 약 1nm 미만이다. 어떤 경우, 공극 크기 또는 평균 공극 크기는 적어도 약 0.4nm, 적어도 약 0.5nm, 또는 그 이상이다. 즉, 공극 크기 또는 평균 공극 크기는 기판(12)과 주형(18) 사이에 갇힌 기체가 임프린팅 스택(400)의 다공층(402)으로 확산될 수 있도록 기체(예를 들어, 헬륨)에 대해 충분한 수의 용해 자리를 제공할 만큼 클 수 있다. 어떤 구체예에서, 다공층(402)의 다공도는 적어도 10% 또는 적어도 20%이다.
어떤 경우, 다공성 주형의 기저층이나 다공성 임프린팅 스택의 기판으로부터 다공층을 통해 상부층까지 응력이 전달될 수 있다. 다공층은 기저층이나 기판보다 낮은 영률을 가질 수 있다. 어떤 구체예에서, 응력의 전달은 기판에 다공성 재료를 매립함으로써 감소될 수 있다. 예를 들어, 기판이나 기저층에 다수의 홈 또는 그루브가 에칭될 수 있고, 다공성 재료가 그 홈이나 그루브 안에 침착될 수 있다. 어떤 경우, 다공성 재료가 홈이나 그루브를 실질적으로 채울 수 있다. 다음에, 기저층 또는 기판과 다공성 재료를 실질적으로 덮도록 기저층이나 기판 위에 상부층이 침착될 수 있으며, 이로써 상부층이 기저층이나 기판과 접촉하게 되고, 응력 전달이 감소된다. 홈 또는 그루브의 면적 및 간격, 그리고 다공성 재료의 부피는 임프린트 리소그래피 공정 동안 기체가 다공성 재료로 빠져나가는 것을 허용할 만큼 충분할 수 있다.
도 5는 홈(502)을 가진 층(500)의 분해 투시도이다. 층(500)은, 예를 들어 기판일 수 있다. 홈(502)은, 예를 들어 어떤 규칙적인 또는 불규칙적인 균일한 또는 불균일한 모양이나 크기의 그루브 또는 함몰부를 포함할 수 있다. 어떤 구체예에서, 홈(502)은 균일한 또는 불균일한 간격의 그리드 패턴을 형성할 수 있다. 그리드 간격은, 예를 들어 약 0.25μm일 수 있다. 홈(502)은 다공성 재료(504)로 실질적으로 채워질 수 있다. 층(500) 및 홈(502) 안의 다공성 재료(504) 위에 상부층(506)이 형성될 수 있고, 이로써 주형(500)을 통한 기체의 증가된 확산을 여전히 허용하면서 층(500)으로부터 상부층(506)으로 응력이 직접 전달될 수 있다. 상부층(506)은, 예를 들어 화학증착 등을 포함하는 방법에 의해 형성될 수 있다.
다공성 주형을 사용한 임프린트 리소그래피 공정에서, 중합성 재료의 드롭이 임프린팅 스택/기판과 주형 사이에 실질적으로 연속층을 형성하는데 필요한 시간은 제 2 주형이 다공성 주형보다 덜 다공성이거나, 또는 낮은 다공도를 가지는 경우(예를 들어, 제 2 주형이 융합 실리카, 석영 또는 흔한 주형 재료로 제조된 경우), 동일한 중합성 재료의 드롭의 동일한 배치가 유사한 임프린팅 스택/기판과 제 2 주형 사이에 실질적으로 연속층을 형성하는데 필요한 시간의 최대 약 80%, 최대 약 50%, 또는 최대 약 20%일 수 있다. 다공성 임프린팅 스택/기판을 사용한 임프린트 리소그래피 공정에서, 중합성 재료의 드롭이 임프린팅 스택/기판과 주형 사이에 실질적으로 연속층을 형성하는데 필요한 시간은 제 2 임프린팅 스택/기판이 다공성 임프린팅 스택/기판보다 덜 다공성이거나, 또는 낮은 다공도를 가지는 경우(예를 들어, 제 2 임프린팅 스택이 규소 웨이퍼 위의 부착층으로 필수적으로 구성된 경우), 동일한 중합성 재료의 드롭의 동일한 배치가 제 2 임프린팅 스택/기판과 유사한 주형 사이에 실질적으로 연속층을 형성하는데 필요한 시간의 최대 약 80%, 최대 약 50%, 또는 최대 약 20%일 수 있다.
어떤 구체예에서, 도 6에 도시된 대로, 다공성 주형과 다공성 임프린팅 스택이 함께 사용될 수 있다. 예를 들어, 다공층(302)은 주형(300)에 포함될 수 있고, 다공층(402)은 임프린팅 스택(400)에 포함될 수 있다. 주형과 임프린팅 스택 모두에 다공층을 도입하면, 예를 들어 상부층이 충분히 얇은 경우라면, 증가된 양의 기체(예를 들어, 헬륨, 질소, 산소 등)가 다공층을 통해 빠져나갈 수 있다. 어떤 구체예에서, 도 7에 도시된 대로, 다공층을 가진 다공성 주형(300)과 임프린팅 스택의 단일체가 함께 사용될 수 있다.
실시예
다공성 임프린팅 스택 제조
SOG(스핀-온 글라스, ACCUGLASS® 512B, Honeywell Electronic Materials에서 입수가능)를 예비 세정한 연마된 8-인치 더블 사이드 규소 웨이퍼 기판 위에 스핀 코팅했다. 다음에, 웨이퍼를 80℃, 150℃ 및 250℃에서 각 온도에서 60-120초 동안 베이킹했다. 다음에, SOG-코팅된 웨이퍼를 1시간 동안 질소 분위기에서 425℃-450℃에서 경화시켰다. SOG 층은 약 1.7μm 두께였다. 얻어진 소수성 SOG 표면을 5-20초 동안 산소 플라즈마로 처리하여 친수성 표면을 만들었다.
약 77g IsoRad 501(다가 반응성 화합물, 뉴욕 스키넥터디 소재 Schenectady International, Inc.에서 입수), 22g Cymel 303ULF(헥사메톡시메틸-멜라민(HMMM)을 포함하는 가교제, 뉴저지 웨스트 패터슨 소재 Cytec Industries, Inc.에서 입수), 및 1g Cycat 4040(촉매, Cytec Industries, Inc.에서 입수), 그리고 약 39.9kg PM 아세테이트(2-(1-메톡시)프로필 아세테이트를 포함하는 용매, 테네시 킹스포트 소재 Eastman Chemical Company에서 입수)을 포함하는 조성물로부터 부착층을 SOG 표면 위에 형성했다. 조성물을 SOG 층 위에 스핀 코팅하고 160℃에서 60초 동안 경화시켜 두께 약 7nm의 부착층을 형성했다.
다공성 주형 제조
SOG(스핀-온 글라스, ACCUGLASS® 512B, Honeywell Electronic Materials)를 예비 세정한 융합 실리카 주형 위에 스핀 코팅했다. 다음에, 주형을 80℃, 150℃ 및 250℃에서 각 온도에서 60-120초 동안 베이킹했다. 다음에, SOG-코팅된 주형을 1시간 동안 질소 분위기에서 425℃-450℃에서 경화시켰다. SOG 층은 약 650μm 두께였다. 주형을 표준 습식 세정 공정으로 세정했다. 다음에, 플라즈마-강화 화학증착(PEVCD)을 이용하여 산화규소 상부층을 침착시켰다. 상부층은 약 8nm 두께였다.
본원에 참고자료로 포함되는 미국 특허 No. 7,307,118의 벌크 재료 A8과 유사한 임프린트 레지스트(예를 들어, 점도 약 10 cP)를 사용하여 상기 설명된 대로 제조된 다공성 임프린팅 스택의 충전 속도를 시험했다. 도 8에 도시된 대로 임프린팅 스택 위에 임프린트 레지스트를 그리드 패턴으로 분배했으며, 임프린트 레지스트 드롭(800)의 간격은 중심에서 중심까지 340μm이고, 드롭 부피는 약 12 pL이었다. 드롭(800) 사이에 간극 영역(802)이 보인다. 헬륨 퍼지를 수행하여 임프린팅 스택과 주형 사이에 있는 공기를 헬륨으로 실질적으로 치환했다. 고화된 레지스트의 잔류 층 두께는 각 경우 90nm였다.
비교예
블랭크 융합 실리카 주형을 사용하고 다공성 임프린팅 스택을 사용하지 않은 경우에 임프린트 레지스트의 펼쳐짐
도 9A는 주형과 임프린트 레지스트가 접촉한 시점에서 임프린팅 스택과 블랭크 융합 실리카 주형 사이의 임프린트 레지스트 드롭(900)의 사진이다. 드롭(900)보다 간극 영역(902)이 표면적을 더 많이 커버하고 있다. 도 9B는 임프린트 레지스트와 주형의 접촉 후 1초 뒤에 찍은 사진이다. 카메라의 뷰 필드는 4개의 간극 영역(902)이 보이도록 조정하였다. 도 9C는 임프린트 레지스트와 주형의 접촉 후 7초 뒤에 찍은 사진이다. 2개 간극 영역(902)이 보인다. 도 9D는 주형과 임프린트 레지스트의 접촉 후 8초 뒤, 임프린트 레지스트가 완전히 펼쳐져 주형과 임프린팅 스택 사이에 실질적으로 연속층(904)이 형성된 것을 도시한다.
실시예
블랭크 융합 실리카 주형과 다공성 임프린팅 스택을 사용한 경우에 임프린트 레지스트의 펼쳐짐
도 10A는 주형과 임프린트 레지스트의 접촉 시점에서 다공성 임프린팅 스택과 블랭크 융합 실리카 주형 사이의 임프린트 레지스트 드롭(1000)의 사진이다. 다공성 임프린팅 스택은 두께 약 1.7μm의 SOG 층으로 코팅되고, 상기 설명된 대로 SOG 층 위에 8nm 부착층이 있는 규소 웨이퍼를 포함한다. 도 10B는 임프린트 레지스트와 주형의 접촉 후 0.5초 뒤에 찍은 사진이다. 카메라의 뷰 필드는 4개의 간극 영역(1002)이 보이도록 조정하였다. 도 10C는 임프린트 레지스트와 주형의 접촉 후 0.75초 뒤에 찍은 사진이다. 3개 간극 영역(1002)이 보인다. 도 10D는 주형과 임프린트 레지스트의 접촉 후 1.1초 뒤, 임프린트 레지스트가 완전히 펼쳐져 주형과 다공성 임프린팅 스택 사이에 실질적으로 연속층(1004)이 형성된 것을 도시한다.
이와 같이, 임프린트 레지스트가 펼쳐져 완전한 충전을 달성하거나 또는 블랭크 융합 실리카 주형과 임프린팅 스택 사이에 실질적으로 연속층(즉, 갇힌 기체나 간극 영역이 보이지 않는)이 형성되는데 필요한 시간의 비교에 의해 종래의 임프린팅 스택과 비교하여 다공성 임프린팅 스택에서 충전 시간이 매우 크게 감소된 것이 드러난다. 이 경우, 완전한 충전을 달성하는데 걸린 시간이 75% 이상 감소된다. 따라서, 임프린트 레지스트가 펼쳐져 다공성 재료를 사용한 임프린팅 스택 위에 실질적으로 연속층이 형성되는 것도 임프린팅 재료에 의해 다공성 재료를 사용하지 않은 임프린팅 스택 위에 실질적으로 연속층이 형성되는데 필요한 시간의 약 20% 미만에서 일어난다. 다공성 재료를 사용하지 않은 주형과 비교하여 다공성 주형에 대해서 충전 속도의 유사한 증가도 달성될 수 있다. 충전 시간의 이런 감소는 더욱 빠른 처리량을 달성하고, 임프린트 리소그래피 공정에서 결함 가능성을 감소시킨다.
상기 설명된 본 발명의 구체예는 예시이다. 본 발명의 범위 내에서 상기 인용된 명세서에 대해 변화 및 변형이 이루어질 수 있다.

Claims (34)

  1. 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 임프린트 리소그래피 주형.
  2. 제 1 항에 있어서, 평균 공극 크기가 적어도 약 0.5nm인 것을 특징으로 하는 주형.
  3. 제 1 항에 있어서, 평균 공극 크기가 적어도 약 1.0nm인 것을 특징으로 하는 주형.
  4. 제 1 항에 있어서, 다공성 재료의 다공도가 적어도 약 20%인 것을 특징으로 하는 주형.
  5. 제 1 항에 있어서, 다공성 재료가 유기규산염 저-k 재료인 것을 특징으로 하는 주형.
  6. 제 5 항에 있어서, 융합 실리카와 비교하여 다공성 재료의 상대 다공도가 적어도 약 20%인 것을 특징으로 하는 주형.
  7. 제 1 항에 있어서, 다공성 재료가 적어도 약 2 GPa의 영률을 갖는 것을 특징으로 하는 주형.
  8. 제 1 항에 있어서, 다공성 재료가 적어도 약 5 GPa의 영률을 갖는 것을 특징으로 하는 주형.
  9. 제 1 항에 있어서, 다공성 재료가 적어도 약 10 GPa의 영률을 갖는 것을 특징으로 하는 주형.
  10. 제 1 항에 있어서, 다공성 재료가 기저층과 상부층 사이에 위치된 것을 특징으로 하는 주형.
  11. 제 10 항에 있어서, 기저층이 융합 실리카를 포함하는 것을 특징으로 하는 주형.
  12. 제 10 항에 있어서, 기저층이 홈을 포함하고, 다공성 재료가 홈에 위치된 것을 특징으로 하는 주형.
  13. 제 10 항에 있어서, 상부층이 SiOx를 포함하고, 1 ≤ x ≤ 2인 것을 특징으로 하는 주형.
  14. 제 10 항에 있어서, 상부층의 두께가 약 100nm 미만인 것을 특징으로 하는 주형.
  15. 제 14 항에 있어서, 상부층의 두께가 약 50nm 미만인 것을 특징으로 하는 주형.
  16. 제 15 항에 있어서, 상부층의 두께가 약 20nm 미만인 것을 특징으로 하는 주형.
  17. 제 10 항에 있어서, 상부층으로부터 연장된 돌출부를 더 포함하는 것을 특징으로 하는 주형.
  18. 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 임프린트 리소그래피 임프린팅 스택.
  19. 제 18 항에 있어서, 평균 공극 크기가 적어도 약 0.5nm인 것을 특징으로 하는 임프린팅 스택.
  20. 제 18 항에 있어서, 평균 공극 크기가 적어도 약 1.0nm인 것을 특징으로 하는 임프린팅 스택.
  21. 제 18 항에 있어서, 다공성 재료의 다공도가 적어도 약 20%인 것을 특징으로 하는 임프린팅 스택.
  22. 제 18 항에 있어서, 다공성 재료가 유기규산염 저-k 재료인 것을 특징으로 하는 임프린팅 스택.
  23. 제 22 항에 있어서, 융합 실리카와 비교하여 다공성 재료의 상대 다공도가 적어도 약 20%인 것을 특징으로 하는 임프린팅 스택.
  24. 제 18 항에 있어서, 다공성 재료가 적어도 약 2 GPa의 영률을 갖는 것을 특징으로 하는 임프린팅 스택.
  25. 제 18 항에 있어서, 다공성 재료가 적어도 약 5 GPa의 영률을 갖는 것을 특징으로 하는 임프린팅 스택.
  26. 제 18 항에 있어서, 다공성 재료가 적어도 약 10 GPa의 영률을 갖는 것을 특징으로 하는 임프린팅 스택.
  27. 제 18 항에 있어서, 다공성 재료가 기판과 상부층 사이에 위치된 것을 특징으로 하는 임프린팅 스택.
  28. 기저층 위에, 평균 공극 크기는 적어도 약 0.4nm이고, 다공층의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공층을 형성하는 단계; 및
    다공층 위에 상부층을 형성하는 단계
    를 포함하는, 임프린트 리소그래피 주형의 형성 방법.
  29. 기저층에 다수의 홈을 형성하는 단계;
    평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 홈에 침착시키는 단계; 및
    기저층 위에 상부층을 형성하는 단계
    를 포함하는, 임프린트 리소그래피 주형의 형성 방법.
  30. 기판 위에, 평균 공극 크기는 적어도 약 0.4nm이고, 다공층의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공층을 형성하는 단계; 및
    다공층 위에 상부층을 형성하는 단계
    를 포함하는, 임프린트 리소그래피 스택의 형성 방법.
  31. 임프린팅 스택에 중합성 재료를 적용하는 단계;
    평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 주형과 중합성 재료를 접촉시키는 단계;
    중합성 재료를 고화시키는 단계; 및
    고화된 재료에서 주형을 분리하는 단계
    를 포함하는 임프린트 리소그래피 방법.
  32. 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 임프린팅 스택에 중합성 재료의 드롭을 적용하는 단계;
    중합성 재료와 주형을 접촉시키는 단계;
    중합성 재료를 고화시키는 단계; 및
    고화된 재료에서 주형을 분리하는 단계
    를 포함하는 임프린트 리소그래피 방법.
  33. 평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 다공성 임프린팅 스택의 표면에 중합성 재료의 드롭을 분배하는 단계;
    중합성 재료와 주형을 접촉시키는 단계; 및
    중합성 재료가 펼쳐져서 다공성 임프린팅 스택의 표면에 실질적으로 연속층이 형성되도록 하는 단계
    를 포함하며, 중합성 재료가 펼쳐져 실질적으로 연속층이 형성되는 것은 유사한 중합성 재료가 유사하게 분포되어 제 2 주형과 제 2 임프린팅 스택 사이에 실질적으로 연속층이 형성되는데 필요한 시간의 약 80% 이하에서 발생하고, 이때 제 2 주형 및 제 2 임프린팅 스택의 평균 공극 크기는 약 0.4nm 미만인, 임프린트 리소그래피 방법.
  34. 임프린팅 스택의 표면에 중합성 재료의 드롭을 분배하는 단계;
    평균 공극 크기는 적어도 약 0.4nm이고, 다공성 재료의 다공도는 적어도 약 10%인, 다수의 공극을 한정하고 있는 다공성 재료를 포함하는 주형과 중합성 재료를 접촉시키는 단계; 및
    중합성 재료가 펼쳐져서 임프린팅 스택의 표면에 실질적으로 연속층이 형성되도록 하는 단계
    를 포함하며, 중합성 재료가 펼쳐져 실질적으로 연속층이 형성되는 것은 유사한 중합성 재료가 유사하게 분포되어 제 2 주형과 제 2 임프린팅 스택 사이에 실질적으로 연속층이 형성되는데 필요한 시간의 약 80% 이하에서 발생하고, 이때 제 2 주형 및 제 2 임프린팅 스택의 평균 공극 크기는 약 0.4nm 미만인, 임프린트 리소그래피 방법.
KR1020107010768A 2007-11-21 2008-11-21 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택 KR101610180B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US98968107P 2007-11-21 2007-11-21
US60/989,681 2007-11-21
US99195407P 2007-12-03 2007-12-03
US60/991,954 2007-12-03

Publications (2)

Publication Number Publication Date
KR20100087327A true KR20100087327A (ko) 2010-08-04
KR101610180B1 KR101610180B1 (ko) 2016-04-07

Family

ID=40667797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107010768A KR101610180B1 (ko) 2007-11-21 2008-11-21 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택

Country Status (7)

Country Link
US (1) US9778562B2 (ko)
EP (1) EP2212742B1 (ko)
JP (1) JP5727788B2 (ko)
KR (1) KR101610180B1 (ko)
CN (1) CN101868760B (ko)
SG (1) SG185929A1 (ko)
WO (1) WO2009067241A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
SG185929A1 (en) 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
JP5268524B2 (ja) * 2008-09-26 2013-08-21 キヤノン株式会社 加工装置
US8470188B2 (en) 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
KR101596375B1 (ko) * 2008-12-04 2016-02-26 에이에스엠엘 네델란즈 비.브이. 임프린트 리소그래피 장치 및 방법
JP2010149482A (ja) * 2008-12-26 2010-07-08 Toshiba Corp インプリント用モールドおよびパターン形成方法
US8349617B2 (en) * 2009-05-29 2013-01-08 Vanderbilt University Optical sensor comprising diffraction gratings with functionalized pores and method of detecting analytes using the sensor
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
JP5806121B2 (ja) * 2010-02-03 2015-11-10 旭硝子株式会社 微細凹凸構造を表面に有する物品の製造方法
NL2005865A (en) * 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
JP5185312B2 (ja) * 2010-03-19 2013-04-17 株式会社東芝 パターン形成方法
JP5618588B2 (ja) * 2010-03-24 2014-11-05 キヤノン株式会社 インプリント方法
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5504054B2 (ja) * 2010-05-27 2014-05-28 株式会社東芝 インプリントマスク、その製造方法、及び半導体装置の製造方法
JP5699461B2 (ja) * 2010-07-02 2015-04-08 住友電気工業株式会社 ナノインプリント用モールド
JP5491997B2 (ja) * 2010-07-07 2014-05-14 株式会社東芝 テンプレートの製造方法および半導体装置の製造方法
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
EP2619532A1 (en) 2010-09-20 2013-07-31 Vanderbilt University Nanoscale porous gold film sers template
CN103635330B (zh) 2011-06-30 2016-06-29 3M创新有限公司 用于制备、着墨和安装用于微接触印刷的压模的方法
US9149958B2 (en) * 2011-11-14 2015-10-06 Massachusetts Institute Of Technology Stamp for microcontact printing
JP6180131B2 (ja) 2012-03-19 2017-08-16 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
US9889504B2 (en) 2012-12-11 2018-02-13 Vanderbilt University Porous nanomaterials having three-dimensional patterning
JP6495283B2 (ja) * 2013-08-19 2019-04-03 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム ナノメータスケール精度を有するユーザ定義プロファイルのプログラム可能な薄膜蒸着
JP6427885B2 (ja) * 2014-01-28 2018-11-28 大日本印刷株式会社 構造体の製造方法
US9370907B2 (en) 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
WO2018140811A1 (en) 2017-01-27 2018-08-02 Arizona Board Of Regents On Behalf Of Arizona State University Electrochemical imprinting of micro- and nano-structures in porous silicon, silicon, and other semiconductors
US10921706B2 (en) * 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
WO2022138331A1 (ja) * 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714810A (en) * 1986-07-28 1987-12-22 Arizona Board Of Regents Means and methods for heating semiconductor ribbons and wafers with microwvaes
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5792550A (en) * 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5066231A (en) * 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
JP2989453B2 (ja) * 1993-11-30 1999-12-13 三菱鉛筆株式会社 連続気孔を有する多孔性ゴム印材
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
EP0784542B1 (en) 1995-08-04 2001-11-28 International Business Machines Corporation Stamp for a lithographic process
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE69826148T2 (de) * 1997-12-09 2005-09-22 SBA Materials, Inc., Santa Barbara Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
AU3386599A (en) * 1998-04-15 1999-11-01 Etec Systems, Inc. Photoresist developer and method of development
WO2000002090A2 (en) * 1998-07-02 2000-01-13 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6435948B1 (en) * 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) * 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) * 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
KR20020063300A (ko) * 2000-01-21 2002-08-01 미쓰이 가가쿠 가부시키가이샤 올레핀계 블록 공중합체, 그 제조방법 및 그 용도
US6465365B1 (en) * 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
US6573131B2 (en) * 2000-07-13 2003-06-03 The Regents Of The University Of California Silica zeolite low-k dielectric thin films and methods for their production
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6500755B2 (en) * 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6660245B1 (en) * 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
JP2002254464A (ja) * 2001-02-28 2002-09-11 Ibiden Co Ltd プレス成形用型及びその製造方法
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6483174B1 (en) * 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030127002A1 (en) * 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
JP2003285341A (ja) * 2002-01-22 2003-10-07 Ist:Kk ポリイミド管状物の製造方法
TWI339680B (en) * 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6824378B2 (en) 2002-05-31 2004-11-30 3M Innovative Properties Company Microreplication tool with gas release features
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP4170735B2 (ja) * 2002-11-13 2008-10-22 信越化学工業株式会社 ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6790790B1 (en) * 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
JP2004202602A (ja) 2002-12-24 2004-07-22 Sony Corp 微小構造体の製造方法、及び型材の製造方法
US6960327B2 (en) * 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
JP2005001239A (ja) * 2003-06-12 2005-01-06 Towa Corp 樹脂成形型用材料及び樹脂成形型
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
TWI240648B (en) * 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
KR100601090B1 (ko) * 2003-10-14 2006-07-14 주식회사 엘지화학 다공성 템플레이트를 이용하여 제조된 고표면적 전극시스템 및 이를 이용한 전기 소자
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
WO2005082277A1 (en) * 2004-02-18 2005-09-09 Stanford University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
KR101179063B1 (ko) 2004-02-23 2012-09-07 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피용 재료
JP2005267726A (ja) * 2004-03-18 2005-09-29 Nokodai Tlo Kk 通気性を有するフィルムを備えるスタンパーと該スタンパーを備える成形装置
CN1938162B (zh) * 2004-03-30 2011-06-01 旭化成电子材料株式会社 中空圆柱状印刷元件及其生产方法、中空圆柱状芯材料
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
WO2005104756A2 (en) * 2004-04-27 2005-11-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
JP4568544B2 (ja) * 2004-06-28 2010-10-27 Towa株式会社 樹脂成形型及び樹脂成形方法
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (ja) * 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
CN101036086B (zh) * 2004-09-08 2011-01-19 尼尔技术有限责任公司 挠性纳米压印模板
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) * 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US7365375B2 (en) * 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
JP2006281654A (ja) * 2005-04-01 2006-10-19 Komatsu Seiren Co Ltd 成形型およびこれを使用したシームレス成形品の製造方法
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
JP4663402B2 (ja) * 2005-05-23 2011-04-06 アジア原紙株式会社 多孔フィルムおよびその製造方法
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US20070123059A1 (en) * 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
JP4872052B2 (ja) * 2006-04-12 2012-02-08 独立行政法人産業技術総合研究所 微細金型コア部材
US20080000373A1 (en) * 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
ATE544093T1 (de) 2006-11-01 2012-02-15 Koninkl Philips Electronics Nv Imprintmethode zur herstellung einer reliefschicht und deren nutzung als ätzmaske
TW200826319A (en) * 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
CN1996141A (zh) * 2006-12-26 2007-07-11 西安交通大学 一种零留膜的压印模板及压印光刻图形转移方法
TWI339881B (en) * 2007-02-15 2011-04-01 Via Tech Inc Chip package
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20100108639A1 (en) * 2007-03-30 2010-05-06 Pioneer Corporation Imprinting mold and method of producing imprinting mold
JP4406452B2 (ja) 2007-09-27 2010-01-27 株式会社日立製作所 ベルト状金型およびそれを用いたナノインプリント装置
SG185929A1 (en) 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US20090266418A1 (en) * 2008-02-18 2009-10-29 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
CN101477304B (zh) * 2008-11-04 2011-08-17 南京大学 在复杂形状表面复制高分辨率纳米结构的压印方法
EP2221664A1 (en) 2009-02-19 2010-08-25 Solvay Solexis S.p.A. Nanolithography process
US8147704B2 (en) 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template

Also Published As

Publication number Publication date
KR101610180B1 (ko) 2016-04-07
US9778562B2 (en) 2017-10-03
WO2009067241A1 (en) 2009-05-28
SG185929A1 (en) 2012-12-28
CN101868760A (zh) 2010-10-20
EP2212742A1 (en) 2010-08-04
EP2212742A4 (en) 2012-06-06
EP2212742B1 (en) 2014-07-02
JP5727788B2 (ja) 2015-06-03
JP2011505270A (ja) 2011-02-24
US20090140458A1 (en) 2009-06-04
CN101868760B (zh) 2013-01-16

Similar Documents

Publication Publication Date Title
KR101610180B1 (ko) 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택
US8470188B2 (en) Nano-imprint lithography templates
US20100072671A1 (en) Nano-imprint lithography template fabrication and treatment
JP5502095B2 (ja) 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造
US7473090B2 (en) Imprint lithography template to facilitate control of liquid movement
US7179396B2 (en) Positive tone bi-layer imprint lithography method
US7825037B2 (en) Fabrication of enclosed nanochannels using silica nanoparticles
CN106233202B (zh) 用于euv光刻的防护薄膜组件
US8961800B2 (en) Functional nanoparticles
US20040096586A1 (en) System for deposition of mesoporous materials
JP6034408B2 (ja) 捕捉される気泡を低減するための表面張力制御方法
WO2007067469A2 (en) Method for expelling gas positioned between a substrate and a mold
TW201529506A (zh) 用於紫外線微影的玻璃陶瓷及其製造方法
KR20150127092A (ko) 금속 또는 산화물 코팅을 가진 재사용가능한 중합체 주형을 사용한 나노 임프린팅
US20040168613A1 (en) Composition and method to form a release layer
US8541053B2 (en) Enhanced densification of silicon oxide layers
WO2017018946A1 (en) Method for self-assembly of nanoparticles on substrate
US20140212534A1 (en) Fabrication of High-Throughput Nano-Imprint Lithography Templates
TWI409583B (zh) 用於奈米壓印微影術之多孔性模板及壓印堆疊體
Verschuuren et al. Large-Area Substrate-Conformal Nano-Imprint Lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190322

Year of fee payment: 4