CN101868760A - 用于纳米刻印光刻的多孔模板和刻印层叠物 - Google Patents

用于纳米刻印光刻的多孔模板和刻印层叠物 Download PDF

Info

Publication number
CN101868760A
CN101868760A CN200880117465A CN200880117465A CN101868760A CN 101868760 A CN101868760 A CN 101868760A CN 200880117465 A CN200880117465 A CN 200880117465A CN 200880117465 A CN200880117465 A CN 200880117465A CN 101868760 A CN101868760 A CN 101868760A
Authority
CN
China
Prior art keywords
template
mint
porosint
mark
sandwich
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880117465A
Other languages
English (en)
Other versions
CN101868760B (zh
Inventor
F·Y·徐
W·刘
E·B·弗莱切
S·V·斯里尼瓦桑
B-J·崔
N·胡斯努季诺夫
A·切罗拉
K·塞利尼迪斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN101868760A publication Critical patent/CN101868760A/zh
Application granted granted Critical
Publication of CN101868760B publication Critical patent/CN101868760B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)
  • Printing Plates And Materials Therefor (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)

Abstract

一种刻印光刻模板或刻印层叠物包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔。所述多孔材料的孔隙率至少约为10%。所述多孔模板、所述多孔刻印层叠物、或者两者可以用于刻印光刻工艺,以促进夹在模板和刻印层叠物之间的气体扩散到模板、刻印层叠物、或者两者中,使得所述刻印层叠物和模板之间的可聚合材料在所述刻印层叠物和模板之间迅速地形成基本连续层。

Description

用于纳米刻印光刻的多孔模板和刻印层叠物
相关申请的交叉引用
本申请根据35U.S.C.§119(e)(1)要求2007年11月21日提交的美国临时申请第60/989,681号以及2007年12月3日提交的美国临时申请第60/991,954号的权益,这两个申请都参考结合入本文中。
关于联邦资助研究或开发的声明
美国政府享有本发明的支付许可,根据NIST ATP AWARD70NANB4H3012的条款,在有限的情况下有权要求专利权所有人以合理的费用许可他人使用本发明。
背景
纳米制造包括制造极小的结构,例如具有100纳米级或更小的特征(feature)的结构。纳米制造具有相当大影响的一个应用是集成电路的加工。随着半导体加工工业持续地力求获得更高的生产率,同时增加每单位面积基片上形成的电路数量,因此纳米制造变得越来越重要。纳米制造提供了更高的工艺控制,同时允许持续地减小形成的结构的最小特征尺寸。已经应用纳米制造的其它研发领域包括生物技术、光学技术、机械系统等。
概述
在一个方面,一种刻印(imprint)光刻模板或刻印层叠物(imprinting stack)包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔。所述多孔材料的孔隙率至少约为10%。
在一些实施方式中,所述平均孔径至少约为0.5纳米,或者至少约为1.0纳米。所述多孔材料的孔隙率可以至少约为20%。在一些情况下,所述多孔材料是有机硅酸盐(organosilicate)低k材料。所述多孔材料相对于熔凝硅石的相对孔隙率至少约为20%。在一些情况下,所述多孔材料的杨氏模量至少约为2GPa,至少约为5GPa,或者至少约为10GPa。
在一些模板实施方式中,所述多孔材料设置在基底层和覆盖层之间。所述基底层可以包含熔凝硅石。在一些情况下,所述基底层包括凹槽,所述多孔材料位于所述凹槽内。在一些情况下,所述覆盖层包含SiOx,其中1≤x≤2。所述覆盖层的厚度可以约小于100nm,约小于50nm,或者约小于20nm。可以从所述覆盖层伸出一些凸起件。
在一些刻印层叠物实施方式中,所述多孔材料设置在基片和覆盖层之间。所述基片可以包含硅。
在另一个方面,形成刻印光刻模板的方法包括在基底层上形成多孔层,以及在所述多孔层上形成覆盖层。在另一个方面,形成刻印光刻模板的方法包括在基底内形成大量的凹槽,在所述凹槽内沉淀多孔材料,以及在基底层上形成覆盖层。在另一个方面,形成刻印光刻层叠物的方法包括在基片上形成多孔层,以及在所述多孔层上形成覆盖层。所述多孔层限定出大量平均孔径至少约为0.4纳米的孔,所述多孔层的孔隙率至少约为10%。
在另一个方面,刻印光刻法包括将可聚合材料的液滴施加于刻印层叠物,使所述可聚合材料与模板接触,使所述可聚合材料固化,以及将模板与固化材料分离。在一些情况下,所述模板包含多孔材料。在一些情况下,所述刻印层叠物包含多孔材料。在一些情况下,所述模板和刻印层叠物都包含多孔材料。所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%。
在另一个方面,一种刻印光刻法包括将可聚合材料的液滴分配在刻印层叠物的表面上,使所述可聚合材料与模板接触,使所述可聚合材料可铺展,在刻印层叠物的表面上形成基本连续层。所述模板、刻印层叠物或两者包含多孔材料,该多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%。所述可聚合材料铺展形成基本连续层所需的时间约为类似的可聚合材料发生类似的分布从而在第二模板和第二刻印层叠物之间形成基本连续层所需的时间的80%或更少,约50%或更少,或者约20%或更少,所述第二模板和第二刻印层叠物的平均孔径约小于0.4nm。
附图简述
为了更详细地理解本发明,对于附图所示的实施方式,提供了对本发明的实施方式的描述。但是,需要注意的是,附图仅仅显示了本发明的一般实施方式,因此认为它们不限制本发明的范围。
图1显示了光刻系统的简化侧视图。
图2显示了图1所示基片的简化侧视图,所述基片上具有位于其上的形成图案的层。
图3显示了多孔模板。
图4显示了多孔刻印层叠物。
图5显示了一个层的一部分,其中在大量凹槽内具有多孔材料。
图6显示了使用多孔模板和多孔刻印层叠物的刻印光刻法。
图7显示了使用整体的多孔模板和多孔刻印层叠物的刻印光刻法。
图8是分配在基片上的刻印抗蚀剂液滴的照片。
图9A-D是在刻印层叠物上铺展的刻印抗蚀剂液滴的照片。
图10A-D是在多孔刻印层叠物上铺展的刻印抗蚀剂液滴的照片。
详述
当今使用的一种示例性的纳米制造技术通常被称为刻印光刻。示例性的刻印光刻法在大量公开出版物中进行了详细描述,例如参见美国专利申请公开第2004/0065976号,美国专利申请公开第2004/0065252号,以及美国专利第6,936,194号,所有这些专利都参考结合于此。
上述这些美国专利申请公开和美国专利中所揭示的刻印光刻技术都包括了在可成形(可聚合)的层中形成浮雕图案,将与所述浮雕图案对应的图案转移到下面的基片中。所述基片可以与运动平台相连接,以便于形成图案工艺的定位。所述形成图案工艺使用与基片隔开的模板,可成形液体被施加在模板和基片之间。所述可成形液体固化形成有图案的刚性层,所述图案与接触所述可成形液体的模板表面的形状一致。固化之后,将所述模板与刚性层分离,使得模板和基片隔开。然后对基片和固化层进行另外的加工,将对应于所述固化层中的图案的浮雕图象转移到所述基片中。
参见图1,图中显示了用来在基片12上形成浮雕图案的光刻系统10。刻印光刻层叠物可以包括基片12以及附着于基片的一个或多个层(例如粘合层)。基片12可以与基片卡盘14相连。如图所示,基片卡盘14是真空卡盘。但是,基片卡盘14可以是任意的卡盘,包括但不限于真空卡盘、销钉型卡盘、沟槽型卡盘、电磁卡盘等,或者上述卡盘的任意组合。在美国专利第6,873,087号中描述了示例性的卡盘,该专利参考结合于此。
基片12和基片卡盘14可以进一步被平台16所支承。平台16可以提供绕x轴、y轴和z轴的运动。平台16,基片12和基片卡盘14也可以设置在底座上(图中未显示)。
模板18与基片12隔开设置。模板18可以包括从该模板向着基片12延伸的台块(mesa)20,台块20之上具有形成图案的表面22。另外,可以将台块20称作模具20。模板18和/或模具20可以由以下的材料形成,包括但不限于:熔凝硅石、石英、硅、有机聚合物、硅氧烷聚合物、硼硅酸盐玻璃、氟碳聚合物、金属、硬化蓝宝石等,或者它们的任意组合。如图所示,形成图案的表面22包括由多个隔开的凹槽24和/或凸起件26限定的特征(feature),但是本发明的实施方式不限于这样的配置。形成图案的表面22可以限定任意初始图案,该初始图案形成要在基片12上形成的图案的基础。
模板18可以与卡盘28相连接。卡盘28可以配置成真空卡盘、销钉型卡盘、沟槽型卡盘、电磁卡盘、以及/或者其它类似种类的卡盘,但是不限于这些。在美国专利第6,873,087号中进一步描述了示例性的卡盘,该专利参考结合于此。另外,卡盘28可以与刻印头30相连,使得卡盘28和/或刻印头30可以配置成便于模板18的运动。
系统10还可以包括流体分配系统32。流体分配系统32可以用来在基片12上沉积可聚合材料34。可以使用以下的技术将可聚合材料34施加于基片12之上,例如液滴分配,旋涂,浸涂,化学气相沉积(CVD),物理气相沉积(PVD),薄膜沉积,厚膜沉积等,或者这些技术的任意组合。根据设计的考虑,可以在模具20和基片12之间限定所需体积之前和/或之后,将可聚合材料34(例如刻印抗蚀剂)分配在基片12上。可聚合材料34可以包括如美国专利第7,157,036号以及美国专利申请公开第2005/0187339号所述的组分,这两篇文献都参考结合于此。
参见图1和图2,系统10可以进一步包括通过线路42与直接能源40相连的能源38。刻印头30和平台16可以配置成用线路42重叠地定位模板18和基片12。可以使用处理器54来调节系统10,所述处理器与平台16,刻印头30,流体分配系统32,能源38或者其任意组合相连,可以使用储存在存储器56中的计算机可读程序进行操作。
刻印头20、平台10或者这两者在模具20和基片12之间的距离可变化,以在它们之间限定所需的体积,该体积基本上被可聚合材料34填充。例如,刻印头30可以对模具18施加作用力,使得模具20与可聚合材料34接触。在所需体积基本上被可聚合材料34填充之后,能源38产生能量40,例如宽谱带紫外辐射,使得可聚合材料34固化和/或交联,与基片12的表面44的形状相一致,并使表面22形成图案,在基片12上构成形成图案的层46。形成图案的层46可能包括残余层48以及大量的特征,图中显示为凸起件50和凹陷52,凸起件50的厚度为t1,残余层48的厚度为t2
上述系统和方法可以进一步使用以下文献所述的刻印光刻工艺和系统实施:美国专利第6,932,934号,美国专利申请公开第2004/0124566号,美国专利申请公开第2004/0188381号,以及美国专利申请公开第2004/0211754号,这些文献都参考结合于此。
在纳米刻印工艺中,通过液滴分配或旋涂法将可聚合材料施加在基片上,在模板与可聚合材料接触之后,气体可能会被夹在凹槽内。在一些纳米刻印工艺中,通过液滴分配法将可聚合材料施加在基片上,气体可能也会被夹在分配在基片上或分配在刻印层叠物上的可聚合材料或者刻印抗蚀剂液滴之间。也就是说,当液滴铺展开的时候,气体可能会被夹在间隙区域内。
气体的逃逸和溶解速率会限制可聚合材料会在基片上(或刻印层叠物上)形成连续层的速率,或者在模板与可聚合材料接触之后,限制可聚合材料会填充模板特征的速率,从而限制了纳米刻印工艺的生产能力。例如,基片或模板可能对夹在基片和模板之间的气体是基本上不可透过的。在一些情况下,附着于基片或模板的聚合层可以成为被气体饱和,使得刻印层叠物和模板之间的气体基本上不能进入所述饱和的聚合层,保持被夹在基片和基片或刻印层叠物之间。保持被夹在基片或刻印层叠物与模板之间的气体可能会在形成图案的层中造成填充缺陷。
在刻印光刻法中,夹在基片/刻印层叠物和模板之间的气体可以透过可聚合材料,所述基片/刻印层叠物,模板,或者它们的任意组合而逃逸。透过任意介质逃逸的气体的量可能会受到被夹的气体和介质之间的接触面积的影响。被夹的气体和可聚合材料之间的接触面积可以小于被夹的气体与基片/刻印层叠物之间的接触面积,并且小于被夹的气体与模板之间的接触面积。例如,基片/刻印层叠物上的可聚合材料的厚度可以约小于1μm,或者约小于100nm。在一些情况下,在刻印之前,可聚合材料可以吸收足够的气体而成为被气体饱和,使得被夹的气体基本不能进入可聚合材料。与之相反地,所述被夹的气体与基片或刻印层叠物之间的接触面积,或者所述被夹的气体与模板之间的接触面积可以是较大的。
在一些情况下,所述基片/刻印层叠物或模板可以包含多孔材料,所述多孔材料限定大量的孔,这些孔具有选定的平均孔径和孔密度或相对孔隙率,以分别促进气体扩散到所述基片/刻印层叠物或者模板中。在某些情况下,所述基片/刻印层叠物或模板可以包括一个或多个多孔材料的层或区域,设计用来促进那些被夹在基片/刻印层叠物与模板之间的气体朝着某一方向传递离开所述基片/刻印层叠物和基片之间的可聚合材料,分别朝向基片/刻印层叠物或模板传递。
介质的透气性可以表示为P=DxS,其中P是渗透性,D是扩散系数,S是溶解度。在气体传递过程中,气体吸附在介质的表面上,在介质内形成浓度梯度。该浓度梯度可以作为气体扩散通过介质的推动力。气体溶解度和扩散系数可以根据例如介质的填充密度变化。通过调节介质的填充密度可以改变扩散系数,从而改变介质的渗透性。
可以认为气体具有相关的动力学直径。动力学直径提供了关于气体传递性质的气体原子或分子的尺寸的概念。D.W.Breck在《沸石分子筛-结构、化学和应用(Zeolite Molecular Sieves-Structure,Chemistry,and Use)》,John Wiley & Sons,New York,1974,第636页(该文献参考结合于此)中列出了以下气体的动力学直径:氦(0.256nm),氩(0.341nm),氧(0.346nm),氮(0.364nm),以及其它常规气体。
在一些刻印光刻工艺中,利用氦气吹扫,基本上用氦气代替模板与基片或刻印层叠物之间的空气。为了简化刻印光刻工艺中氦气环境和空气环境之间的比较,可以用纯氩气模拟空气,从而忽略空气中的氧气与硅石之间的极性相互作用。氦气和氩气都是惰性气体,氩气的动力学直径与氧气相类似。但是,与氧气不同的是,氦气和氩气不会与熔凝硅石或石英(例如模板或基片中的)发生化学相互作用。
内部空穴(溶解性位点)以及将溶解性位点相连的结构通道允许气体透过介质。气体可以保留在溶解性位点之内。相对于气体尺寸(或动力学直径)的内部空穴的尺寸和通道直径会影响气体在介质中渗透的速率。
J.F.Shackelford在J.Non-Cryst.Solids 253,1999,23中提到,熔凝硅石的各个间隙溶解性位点的尺寸呈对数正态分布,该文献参考结合于此。如间隙直径分布(出现频率最大值=0.181nm;平均值=0.196nm)以及氦气和氩气的动力学直径所示,可以用于氦气的熔凝硅石溶解性位点数超过可用于氩气的溶解性位点数。估计间隙位点的总数为每立方米2.2x1028,其中每立方米包括2.3x1027个氦气溶解性位点,每立方米包括1.1x1026个氩气溶解性位点。认为氦气的溶解性位点之间的平均距离为0.94nm,而氩气的溶解性位点之间的平均距离为2.6nm。认为将这些溶解性位点相连的结构通道与Si-O六元环的螺旋结构相类似,直径约为0.3nm。表1总结了熔凝硅石中一些影响氦气和氩气的渗透性的参数。
表1
                                    氦气            氩气
动力学直径(nm)                      0.256           0.341
溶解性位点密度(m-3)                 2.3x1027        1.1x1026
溶解性位点之间的距离(nm)            0.94            2.6
连接溶解性位点的结构通道直径(nm)    ~0.3          ~0.3
Boiko(G.G.Boiko等,玻璃物理和化学(Glass Physics and Chemistry),第29卷,第1期,2003,第42-48页,该文献参考结合于此)描述了氦气在无定形氧化硅或玻璃质氧化硅中的性质。在溶解性位点内,氦原子以间隙体积所容许的振幅振动。原子通过通道从间隙到间隙移动,所述通道的直径可能小于所述间隙。
表1所列的参数表明在室温下,氩气在熔凝硅石中的渗透性极低,或者是可以忽略的(即氩气的动力学直径超过熔凝硅石的通道尺寸)。由于氧气和氮气的动力学直径大于氩气的动力学直径,空气可能基本不能渗透熔凝硅石。另一方面,氦气可能会扩散并渗透到熔凝硅石中。因此,当在纳米刻印工艺中使用氦气环境而不使用环境空气的时候,夹在模板与基片或刻印层叠物之间的氦气能够渗透过熔凝硅石模板。
图3是位于基片12和多孔模板300之间的可聚合材料的侧视图,以及用于纳米刻印光刻的各种多孔模板实施方式的截面放大图。箭头表示气体传递到模板300中的方向。
模板300A包括位于基底层304和覆盖层306之间的多孔层302。可以通过化学气相沉积法(CVD)、旋涂法、热生长法等方法在基底层304上形成多孔层302。多孔层302的厚度可以至少约为10nm。例如,多孔层302的厚度可以约为10nm至100μm,或者约为100nm至10μm。在一些情况下,较厚的多孔层302可以提供较高的有效渗透性,同时不会显著降低与例如紫外线透光性、热膨胀等有关的性能。
多孔层302可以由以下材料制成,包括但不限于受过阳极处理的α-氧化铝;有机硅烷,有机氧化硅,或者有机硅酸盐材料;有机聚合物;无机聚合物,以及它们的任意组合。在一些实施方式中,所述多孔材料可以是低k介电膜、多孔低k介电膜、或者超低k介电膜,例如用于电子和半导体用途的旋涂玻璃(spin-on glass)(SOG)。可以选择多孔材料以耐受纳米刻印光刻工艺(包括Piranha回收工艺)中的反复使用。多孔层302与基底层304和覆盖层306的附着力可以是例如至少约为将模板与刻印光刻工艺中形成的形成图案的层分离所需作用力的三倍。在一些实施方式中,所述多孔材料可以是基本上紫外辐射可透过的。例如,所述多孔材料的拉伸模量可以至少约为2GPa,至少约为5GPa,或者至少约为10GPa。
通过改变工艺条件和材料,可以制得具有不同孔径和孔密度(例如孔隙率或相对孔隙率)的多孔层。在一些情况下,例如可以使用离子轰击法在材料中形成孔。多孔层302可以包含比熔凝硅石更大孔径和更大孔隙率的孔308。在本文中,“孔隙率”表示固体中被通道和开放空间占据的体积占总体积的百分数。所述多孔层302的孔隙率可以约为0.1-60%,或者约为5-45%。在一些情况下,多孔层302的孔隙率可以至少约为10%,或者至少约为20%。类似的材料的相对孔隙率可以定义为材料密度的相对差。例如,SOG(密度ρSOG=1.4g/cm3)相对于熔凝硅石(密度ρ熔凝硅石=2.2g/cm3)的相对孔隙率可以通过下式计算:100%×(ρ熔凝硅石SOG)/ρ熔凝硅石,即36%。熔凝硅石可以作为其它包含氧-硅键的材料的参比材料。在一些实施方式中,包含氧-硅键的多孔材料相对于熔凝硅石的相对孔隙率至少约为10%,至少约为20%,或者至少约为30%。
多孔材料中的孔的尺寸可以获得很好的控制(例如基本均匀,或者具有所需的分布)。在一些情况下,孔径或平均孔径约小于10nm,约小于3nm,或者约小于1nm。在一些情况下,所述孔径或平均孔径至少约为0.4nm,至少约为0.5nm,或者更大。也就是说,所述孔径或平均孔径可以足够大,以便为气体提供足够数量的溶解性位点,使得当气体被夹在基片/刻印层叠物和模板300A之间的时候,所述气体能够扩散到模板的多孔层302中。
硅倍半氧烷(Silsesquioxane)聚合物是示例性的多孔材料。Zhang等在“高多孔多面体硅倍半氧烷聚合物,合成与表征(Highly Porous PolyhedralSilsesquioxane Polymers.Synthesis and Characterization)”J.Am.Chem.Soc.,1998,120,8380-8391(该文献参考结合于此)中描述了硅倍半氧烷聚合物中的小的立方体内的孔以及较大的立方体之间的孔。立方体内的孔是大约0.3-0.4nm的球形。立方体之间的孔是直径0.5-0.6nm、长1.0-1.2nm的椭球形。如本文所述,认为直径至少约0.4纳米的孔(例如硅倍半氧烷聚合物)能够提供具有特定尺寸和形状的溶解性位点,此位点的尺寸和形状适合吸收动力学直径小于该溶解性位点尺寸的气体。在一些情况下,多孔材料的溶解性位点的结构可以允许吸收的气体基本被固定在溶解性位点,而不是扩散到材料之外。
可以将成孔剂(porogen)加入用来形成多孔层302的材料中,以增大多孔层的孔隙率和孔径。成孔剂包括例如能够蒸发的有机化合物,例如降冰片烯,α-萜品烯,聚环氧乙烷,以及聚环氧乙烷/聚环氧丙烷共聚物等,以及它们的任意组合。成孔剂可以是例如线型或者星形的。可以选择成孔剂和工艺条件以形成微孔低k多孔层,例如平均孔径约小于2nm,从而为一定范围的气体增加溶解性位点的数量。另外,引入成孔剂和增大孔隙率,可以增大连接气体溶解性位点的结构通道。对于约等于或大于0.4纳米的孔径,低k膜的氦气渗透性可以大于玻璃熔凝硅石的氦气渗透性。
基底层304和覆盖层306可以由相同的或者不同的材料制造。在一些的实施方式中,基底层304可以是熔凝硅石,覆盖层306可以包含SiOx,其中1≤x≤2,通过气相沉积法生长。可以对覆盖层306的厚度和组成进行选择,以提供机械强度和选择的表面性质,以及对于可能在刻印光刻工艺中夹在基片/刻印层叠物和模板之间的气体的渗透性。在一些实施方式中,所述覆盖层306的厚度可以约小于100nm,约小于50nm,或者约小于20nm。在一个实施例中,覆盖层306的厚度约为10nm。可以用选择的材料形成覆盖层306,以便在刻印光刻工艺中获得所需的湿润和脱模性能。覆盖层306还可以抑制可聚合材料34渗入多孔层中,同时允许气体扩散通过覆盖层进入多孔层302。
对于多层膜,可以用阻力模型计算有效渗透性,例如参见以下文献中的电路模拟:F.Peng等的J.Membrane Sci.222(2003)225-234,以及A.Ranjit Prakash等的Sensors and Actuators B 113(2006)398-409,这两篇文献都参考结合于此。某种材料对蒸气渗透的阻力定义为渗透阻力Rp。对于包括厚度分别为l1和l2、相应的渗透性分别为P1和P2的层的双层复合膜,渗透阻力可以定义如下:
R p = Δp J = 1 ( P / l ) A - - - ( 1 )
其中Δp是膜上的压差,J是通量,A是面积。阻力模型预计如下:
Rp=R1+R2                (2)
当对于材料1和2,横截面积相等的时候,公式(2)可以重新写作:
l 1 + l 2 P = l 1 P 1 + l 2 P 2 - - - ( 3 )
对于具有覆盖层306的模板300A,其中覆盖层306由SiOx组成,其厚度约为10nm,渗透性为P1,则可以通过选择多孔层302的孔隙率和孔径来调节模板渗透性。多孔层302的渗透性以及厚度对厚度为310纳米的多层复合刻印层叠物的有效渗透性的影响见表2。
表2
覆盖层厚度                            基底层厚度
                多孔层厚度,                                    总层叠物的有效
(SiOx),渗透性                        (SiO2),渗透渗透性之比
                渗透性P2                                        渗透性
P1                                    性P1
10nm            300nm                 0           P2=1000P1    30.1P1
10nm            200nm                 100nm       P2=1000P1    2.8P1
10nm            100nm                 200nm       P2=1000P1    1.5P1
10nm            300nm                 0           P2=100P1     23.8P1
表2表明,单独增大多孔层的厚度能够获得比单独增大多孔层的渗透性高的有效渗透性。也就是说,当多孔层厚度为300nm、覆盖层厚度为10nm的时候,多孔层的渗透性从100P1到1000P1的十倍的增大使得有效渗透性从23.8P1增大到30.1P1。对于多孔层厚度为100纳米、200纳米和300纳米,覆盖层厚度为10纳米的复合刻印层叠物,在多孔层厚度增大200纳米的范围中,有效渗透性分别从1.5P1增大到2.8P1再增大到30.1P1,增大了二十倍。
在另一个实施方式中,凸起件310可以从覆盖层306伸出。在一个实施例中,模板300B可通过以下方式形成:在基底层(例如石英)上沉积500nm厚的多孔层(例如有机硅酸盐低k膜),然后在多孔层顶上生长100纳米厚的覆盖层(例如SiOx)。然后再对覆盖层进行蚀刻,以形成高90纳米的凸起件。在本文中,覆盖层306的厚度是与所述凸起件310的高度无关的。因此,在此实施例中,认为覆盖层的厚度为10纳米,从覆盖层伸出高90纳米的凸起件。至少约50%的模板表面被10纳米厚的SiOx覆盖(即大约50%的模板表面被凸起件覆盖),其下面是500纳米厚的多孔层。氦气可以更快地从覆盖层中不存在凸起件的部分扩散通过,使得氦气渗透性的总体增大至少部分地取决于多孔层的厚度、覆盖层的厚度、以及模板中不存在凸起件的表面积所占分数。
模板可以以整体结构的形式形成,该整体结构具有选定的孔隙率和平均孔径,使得气体能够扩散通过。例如由有机聚合物、无机材料(例如碳化硅、掺杂氧化硅、
Figure GPA00001140853900121
)等或其任意组合制备的模板可以具有低于玻璃熔凝硅石的填充密度,因此具有高于玻璃熔凝硅石的气体(例如氦气)渗透性。图3显示了模板300C。模板300C主要由单独的多孔层302组成。所述多孔层302未附着于基底层。所述多孔层的平均孔径可以至少约为0.4nm,孔隙率至少约为10%。
模板300D包括多孔层302和覆盖层306。覆盖层306可以是例如SiOx。正如模板300C的情况,所述多孔层未附着于基底层。所述覆盖层306可以抑制可聚合材料渗透渗入多孔材料。所述覆盖层306还可以使得模板具有所需的表面性质,机械性能等。
刻印层叠物还可以包括基片以及附着于基片的层。多层刻印层叠物可以包括附着在一起、形成多层复合体的一个或多个另外的层。所述基片可以是例如硅晶片。附着于基片的层可以包括例如有机聚合物材料、无机聚合材料、或者它们的任意组合。可以对所述基片、所述层、或其任意组合的孔径和孔隙率进行选择,以使得气体扩散通过刻印层叠物,由此促进减少刻印光刻工艺过程中被夹的气体以及有助于模板内特征的填充。
图4显示了位于模板18和刻印层叠物400之间的可聚合材料34。箭头表示气体传递到刻印层叠物中的方向。在一些情况下,可以通过增大刻印层叠物的厚度来增大刻印层叠物400的气体渗透性。在一些实施方式中,增大刻印层叠物的厚度可改进所述层叠物的气体吸收能力,降低吹扫过程中达到气体饱和的可能性。
在某些实施方式中,如刻印层叠物400的放大截面图所示,所述刻印层叠物可以包括形成在基片12上的多孔层402。多孔层402可以包括孔408,可以是例如有机硅酸盐低k膜。可以在多孔层402上形成覆盖层406。根据预期的应用,多孔层402的厚度可以约为50纳米至几微米。多孔层中的孔径可以获得很好的控制(例如基本均匀,或者具有所需的分布)。
在一些实施方式中,多孔层402的孔径或平均孔径约小于10nm,约小于3nm,或者约小于1nm。在一些情况下,所述孔径或平均孔径至少约为0.4nm,至少约为0.5nm,或者更大。也就是说,所述孔径或平均孔径可以足够大,以便为气体(例如氦气)提供足够数量的溶解性位点,使得被夹在基片12和模板18之间的气体能够扩散到刻印层叠物400的多孔层402中。在一些实施方式中,多孔层402的孔隙率至少为10%或者至少为20%。
在一些情况下,来自多孔模板的基底层的应变或者来自多孔刻印层叠物的基片的应变可以通过多孔层被传递到覆盖层。所述多孔层的杨氏模量可以小于基底层或基片。在某些实施方式中,可以通过在基片中嵌入多孔材料,减少应变的传递。例如,可以在基片或基底层中蚀刻出大量的凹槽或者沟槽,可以将多孔材料沉积在所述凹槽或者沟槽内。在一些情况下,所述多孔材料可以基本填充所述凹槽或沟槽。然后,可以将覆盖层沉积在所述基底层或基片上,以基本上覆盖所述基底层或基片和多孔材料,使得覆盖层与基底层或基片接触,并减少应变传递。在刻印光刻工艺过程中,所述凹槽或沟槽的面积和间距以及多孔材料的体积可以足以使得气体逃逸到多孔材料中。
图5显示了具有凹槽502的层500的分解透视图。层500可以是例如基片。凹槽502可以包括例如具有任意规则或不规则、均匀或不均匀的形状或尺寸的沟槽或凹陷。在一些实施方式中,凹槽502可以形成具有均匀间距或者不均匀间距的网格图案。网格间距a可以例如约为0.25μm。凹槽502可以基本上被多孔材料504填充。可以在层500以及凹槽502内的多孔材料504上形成覆盖层506,使得应变不会从层500直接传递到覆盖层506,同时仍然能够增加气体通过模板500的扩散。可以通过包括例如化学气相沉积等方法的方法形成覆盖层506。
对于使用多孔模板的刻印光刻法,可聚合材料的液滴在刻印层叠物/基片与模板之间形成基本连续层所需的时间至多约为相同设置的相同可聚合材料的液滴在类似的刻印层叠物/基片和第二模板之间形成基本连续层所需的时间的80%,至多约为50%,或者至多约为20%,其中所述第二模板的多孔性小于所述多孔模板,或者说第二模板的孔隙率小于所述多孔模板(例如当所述第二模板由熔凝硅石、石英、或常规模板材料制造的时候)。对于使用多孔刻印层叠物/基片的刻印光刻法,可聚合材料的液滴在刻印层叠物/基片与模板之间形成基本连续层所需的时间至多约为相同设置的相同可聚合材料的液滴的在第二刻印层叠物/基片和类似的模板之间形成基本连续层所需的时间的80%,至多约为50%,或者至多约为20%,其中所述第二刻印层叠物/基片的多孔性小于所述多孔刻印层叠物/基片,或者说第二刻印层叠物/基片的孔隙率小于所述多孔刻印层叠物/基片(例如当所述第二刻印层叠物主要由硅晶片上的粘合层制备的时候)。
在一些实施方式中,如图6所示,可以将多孔模板和多孔刻印层叠物一起使用。例如,多孔层302可以包括在模板300中,多孔层402可以包括在刻印层叠物400中。同时在模板和刻印层叠物中引入多孔层可以使得逃逸通过多孔层的气体(例如氦气、氮气、氧气等)的量增加,如果例如覆盖层足够薄的话。在一些实施方式中,如图7所示,可以将整体的多孔模板300和具有多孔层的刻印层叠物一起使用。
实施例
多孔刻印层叠物制备。将SOG(旋涂玻璃,
Figure GPA00001140853900141
512B,购自蜜井电子材料公司(Honeywell Electronic Materials))旋涂在预先清洁过的8英寸的双面抛光硅晶片基片上。然后所述晶片分别在80℃,150℃和250℃烘烤60-120秒。然后所述涂覆了SOG的晶片在氮气气氛中,在425℃-450℃固化1小时。所述SOG层的厚度约为1.7μm。制得的疏水性SOG表面用氧等离子体处理5-20秒,以形成亲水性表面。
用一种组合物在SOG表面上形成粘合层,所述组合物包含约77克的IsoRad 501(购自美国纽约州斯卡奈特市(Schenectady,N.Y.)的斯卡奈特国际有限公司(Schenectady International,Inc.)的多官能活性化合物),22克Cymel303ULF(一种包含六甲氧基甲基三聚氰胺(HMMM)的交联剂,购自美国新泽西州西帕特森市(West Patterson,N.J.)的塞泰克工业有限公司(CytecIndustries,Inc.))以及1克Cycat 4040(购自塞泰克工业有限公司的催化剂),以及约39.9千克PM乙酸酯(包含乙酸2-(1-甲氧基)丙酯的溶剂,购自美国田纳西州金斯伯特市(Kingsport,Tennessee)的伊斯特曼化学公司(EastmanChemical Company))。将组合物旋涂在SOG层上,在160℃固化60秒,从而形成厚度约为7微米的粘合层。
多孔模板的制备。将SOG(旋涂玻璃,
Figure GPA00001140853900151
512B,购自蜜井电子材料公司(Honeywell Electronic Materials))旋涂在预先清洁的熔凝硅石模板上。然后所述模板分别在80℃,150℃和250℃烘烤60-120秒。然后所述涂覆了SOG的模板在氮气气氛中,在425℃-450℃固化1小时。所述SOG层的厚度约为650μm。然后用标准湿法清洁工艺清洁所述模板。然后采用等离子增强化学气相沉积法(PEVCD)沉积氧化硅覆盖层。所述覆盖层的厚度约为8nm。
使用与美国专利第7,307,118号(该专利参考结合于此)中所述的本体材料A8(例如粘度约为10厘泊)类似的刻印抗蚀剂测定如上所述制备的多孔刻印层叠物的填充速度。图8显示了分配在刻印层叠物上的网格图案内的刻印抗蚀剂,刻印抗蚀剂的液滴800中心与中心的间距为340μm,液滴的体积约为12pL。在液滴800之间可以观察到间隙区域802。进行氦气吹扫,用氦气基本上替代所述刻印层叠物和模板之间的空气。在所有情况下,固化的抗蚀剂的残留层厚度约为90nm。
对比例:不使用多孔刻印层叠物、使用坯料(blank)熔凝硅石模板的情况下的刻印抗蚀剂的铺展。图9A是当模板接触刻印抗蚀剂的时候,刻印层叠物和坯料熔凝硅石模板之间的刻印抗蚀剂的液滴900的照片。间隙区域902覆盖的表面积大于液滴900覆盖的表面积。图9B是刻印抗蚀剂与模板接触1秒以后的照片。已经调节了照相机的视野,可以看到四个间隙区域902。图9C是刻印抗蚀剂与模板接触7秒以后的照片。可以看到两个间隙区域902。图9D显示了模板与刻印抗蚀剂接触8秒之后,刻印抗蚀剂完全铺展,在模板和刻印层叠物8之间形成基本连续层904。
实施例:使用多孔刻印层叠物、使用坯料熔凝硅石模板的情况下的刻印抗蚀剂的铺展。图10A是当模板接触刻印抗蚀剂的时候,多孔刻印层叠物和坯料熔凝硅石模板之间的刻印抗蚀剂的液滴1000的照片。如上文所述,所述多孔刻印层叠物包括涂覆有厚度约为1.7微米的SOG层的硅晶片,在SOG层上具有8纳米的粘合层。图10B是刻印抗蚀剂与模板接触0.5秒以后的照片。已经调节了照相机的视野,可以看到四个间隙区域1002。图10C是刻印抗蚀剂与模板接触0.75秒以后的照片。可以看到三个间隙区域1002。图10D显示了模板与刻印抗蚀剂接触1.1秒之后,刻印抗蚀剂完全铺展,在模板和多孔刻印层叠物之间形成基本连续层1004。
因此,对刻印抗蚀剂在坯料熔凝硅石模板和刻印层叠物之间铺展而完全填充或者形成基本连续层(即不存在可见的被夹的气体或者间隙区域)所需的时间进行比较,揭示了多孔刻印层叠物的填充时间相对于常规刻印层叠物获得显著的缩短。在此情况下,达到完全填充所需的时间减少超过75%。因此,刻印抗蚀剂铺展而在包括多孔材料的刻印层叠物上形成基本连续层所需的时间比刻印抗蚀剂在没有多孔材料的刻印层叠物上形成基本连续层所需的时间的约20%小。与不含多孔材料的模板相比,多孔模板可以实现填充速度的类似增大。这种填充时间的缩短可以获得更快的生产量,减少刻印光刻工艺中出现缺陷的可能性。
上述本发明的实施方式是示例性的。可以在保持在本发明范围内的情况下对上述内容进行许多的变化和改良。

Claims (34)

1.一种刻印光刻模板,该模板包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%。
2.如权利要求1所述的模板,其特征在于,所述平均孔径至少约为0.5nm。
3.如权利要求1所述的模板,其特征在于,所述平均孔径至少约为1.0nm。
4.如权利要求1所述的模板,其特征在于,所述多孔材料的孔隙率至少约为20%。
5.如权利要求1所述的模板,其特征在于,所述多孔材料是有机硅酸盐低k材料。
6.如权利要求5所述的模板,其特征在于,所述多孔材料相对于熔凝硅石的相对孔隙率至少约为20%。
7.如权利要求1所述的模板,其特征在于,所述多孔材料的杨氏模量至少约为2GPa。
8.如权利要求1所述的模板,其特征在于,所述多孔材料的杨氏模量至少约为5GPa。
9.如权利要求1所述的模板,其特征在于,所述多孔材料的杨氏模量至少约为10GPa。
10.如权利要求1所述的模板,其特征在于,所述多孔材料设置在基底层和覆盖层之间。
11.如权利要求10所述的模板,其特征在于,所述基底层包含熔凝硅石。
12.如权利要求10所述的模板,其特征在于,所述基底层包括凹槽,所述多孔材料设置在凹槽内。
13.如权利要求10所述的模板,其特征在于,所述覆盖层包含SiOx,其中1≤x≤2。
14.如权利要求10所述的模板,其特征在于,所述覆盖层的厚度约小于100nm。
15.如权利要求14所述的模板,其特征在于,所述覆盖层的厚度约小于50nm。
16.如权利要求15所述的模板,其特征在于,所述覆盖层的厚度约小于20nm。
17.如权利要求10所述的模板,所述模板还包括从覆盖层伸出的凸起件。
18.一种刻印光刻刻印层叠物,该层叠物包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%。
19.如权利要求18所述的刻印层叠物,其特征在于,所述平均孔径至少约为0.5nm。
20.如权利要求18所述的刻印层叠物,其特征在于,所述平均孔径至少约为1.0nm。
21.如权利要求18所述的刻印层叠物,其特征在于,所述多孔材料的孔隙率至少约为20%。
22.如权利要求18所述的模板,其特征在于,所述多孔材料是有机硅酸盐低k材料。
23.如权利要求22所述的模板,其特征在于,所述多孔材料相对于熔凝硅石的相对孔隙率至少约为20%。
24.如权利要求18所述的刻印层叠物,其特征在于,所述多孔材料的杨氏模量至少约为2GPa。
25.如权利要求18所述的模板,其特征在于,所述多孔材料的杨氏模量至少约为5GPa。
26.如权利要求18所述的模板,其特征在于,所述多孔材料的杨氏模量至少约为10GPa。
27.如权利要求18所述的模板,其特征在于,所述多孔材料设置在基片和覆盖层之间。
28.一种用来形成刻印光刻模板的方法,所述方法包括:
在基底层上形成多孔层;所述多孔层限定出大量平均孔径至少约为0.4纳米的孔,所述多孔层的孔隙率至少约为10%,以及
在所述多孔层上形成覆盖层。
29.一种用来形成刻印光刻模板的方法,所述方法包括:
在基底层中形成大量凹槽;
在凹槽中沉积多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%,以及
在所述基底层上形成覆盖层。
30.一种用来形成刻印光刻层叠物的方法,所述方法包括:
在基片上形成多孔层;所述多孔层限定出大量平均孔径至少约为0.4纳米的孔,所述多孔层的孔隙率至少约为10%,以及
在所述多孔层上形成覆盖层。
31.一种刻印光刻方法,该方法包括:
对刻印层叠物施加可聚合材料;
使所述可聚合材料与模板接触,所述模板包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%,
使所述可聚合材料固化;以及
将所述模板与固化材料分离。
32.一种刻印光刻方法,该方法包括:
在刻印层叠物上施加可聚合材料的液滴,所述刻印层叠物包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%,
使所述可聚合材料与模板接触;
使所述可聚合材料固化;以及
将所述模板与固化材料分离。
33.一种刻印光刻方法,该方法包括:
将可聚合材料的液滴分配在多孔刻印层叠物的表面上,所述多孔刻印层叠物包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%;
使所述可聚合材料与模板接触;以及
使所述可聚合材料铺展,从而在所述多孔刻印层叠物的表面上形成基本连续的层,所述可聚合材料铺展形成基本连续的层所需的时间约为类似的可聚合材料发生类似的分布从而在第二模板和第二刻印层叠物之间形成基本连续的层所需的时间的80%或更少,其中所述第二模板和第二刻印层叠物的平均孔径约小于0.4nm。
34.一种刻印光刻方法,该方法包括:
将可聚合材料的液滴分配在刻印层叠物的表面上;
使所述可聚合材料与模板接触,所述模板包含多孔材料,所述多孔材料限定出大量平均孔径至少约为0.4纳米的孔,所述多孔材料的孔隙率至少约为10%,以及
使所述可聚合材料铺展,从而在所述刻印层叠物的表面上形成基本连续层,所述可聚合材料铺展形成基本连续的层所需的时间为类似的可聚合材料发生类似的分布从而在第二模板和第二刻印层叠物之间形成基本连续的层所需的时间的80%或更少,其中所述第二模板和第二刻印层叠物的平均孔径约小于0.4nm。
CN2008801174658A 2007-11-21 2008-11-21 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物 Expired - Fee Related CN101868760B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US98968107P 2007-11-21 2007-11-21
US60/989,681 2007-11-21
US99195407P 2007-12-03 2007-12-03
US60/991,954 2007-12-03
PCT/US2008/012990 WO2009067241A1 (en) 2007-11-21 2008-11-21 Porous template and imprinting stack for nano-imprint lithography

Publications (2)

Publication Number Publication Date
CN101868760A true CN101868760A (zh) 2010-10-20
CN101868760B CN101868760B (zh) 2013-01-16

Family

ID=40667797

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801174658A Expired - Fee Related CN101868760B (zh) 2007-11-21 2008-11-21 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物

Country Status (7)

Country Link
US (1) US9778562B2 (zh)
EP (1) EP2212742B1 (zh)
JP (1) JP5727788B2 (zh)
KR (1) KR101610180B1 (zh)
CN (1) CN101868760B (zh)
SG (1) SG185929A1 (zh)
WO (1) WO2009067241A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102216851B (zh) * 2008-12-04 2013-08-28 Asml荷兰有限公司 压印光刻的压印模具、设备和图案化方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
KR101610180B1 (ko) 2007-11-21 2016-04-07 캐논 나노테크놀로지즈 인코퍼레이티드 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
JP5268524B2 (ja) * 2008-09-26 2013-08-21 キヤノン株式会社 加工装置
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US20100109201A1 (en) * 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
JP2010149482A (ja) * 2008-12-26 2010-07-08 Toshiba Corp インプリント用モールドおよびパターン形成方法
US9352543B2 (en) * 2009-05-29 2016-05-31 Vanderbilt University Direct imprinting of porous substrates
US20110148008A1 (en) * 2009-12-23 2011-06-23 National Cheng Kung University Micro-nano imprint mould and imprinting process
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
EP2532508A4 (en) * 2010-02-03 2014-01-08 Asahi Glass Co Ltd METHOD FOR PRODUCING AN ARTICLE WITH A FINISHED SURFACE STRUCTURE
NL2005865A (en) * 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
JP5185312B2 (ja) * 2010-03-19 2013-04-17 株式会社東芝 パターン形成方法
JP5618588B2 (ja) 2010-03-24 2014-11-05 キヤノン株式会社 インプリント方法
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5504054B2 (ja) 2010-05-27 2014-05-28 株式会社東芝 インプリントマスク、その製造方法、及び半導体装置の製造方法
JP5699461B2 (ja) * 2010-07-02 2015-04-08 住友電気工業株式会社 ナノインプリント用モールド
JP5491997B2 (ja) * 2010-07-07 2014-05-14 株式会社東芝 テンプレートの製造方法および半導体装置の製造方法
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
US9593981B2 (en) 2010-09-20 2017-03-14 Vanderbilt University Nanoscale porous gold film SERS template
WO2013003412A2 (en) 2011-06-30 2013-01-03 3M Innovative Properties Company Method for making,inking, and mounting stamps for micro-contact printing
US9149958B2 (en) * 2011-11-14 2015-10-06 Massachusetts Institute Of Technology Stamp for microcontact printing
JP6180131B2 (ja) 2012-03-19 2017-08-16 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
US9889504B2 (en) 2012-12-11 2018-02-13 Vanderbilt University Porous nanomaterials having three-dimensional patterning
SG11201601162TA (en) * 2013-08-19 2016-03-30 Univ Texas Programmable deposition of thin films of a user-defined profile with nanometer scale accuracy
JP6427885B2 (ja) * 2014-01-28 2018-11-28 大日本印刷株式会社 構造体の製造方法
US9370907B2 (en) 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US20190384167A1 (en) 2017-01-27 2019-12-19 Arizona Board Of Regents On Behalf Of Arizona State University Electrochemical imprinting of micro- and nano-structures in porous silicon, silicon, and other semiconductors
US10921706B2 (en) * 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
WO2022138331A1 (ja) * 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714810A (en) 1986-07-28 1987-12-22 Arizona Board Of Regents Means and methods for heating semiconductor ribbons and wafers with microwvaes
US5028511A (en) 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5066231A (en) 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
FR2693727B1 (fr) 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
JP2989453B2 (ja) 1993-11-30 1999-12-13 三菱鉛筆株式会社 連続気孔を有する多孔性ゴム印材
US5928767A (en) 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
WO1997006012A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
ATE275600T1 (de) 1997-12-09 2004-09-15 Sba Materials Inc Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
WO1999053381A1 (en) 1998-04-15 1999-10-21 Etec Systems, Inc. Photoresist developer and method of development
US7799416B1 (en) 1998-07-02 2010-09-21 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6435948B1 (en) 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
KR20020063300A (ko) 2000-01-21 2002-08-01 미쓰이 가가쿠 가부시키가이샤 올레핀계 블록 공중합체, 그 제조방법 및 그 용도
US6465365B1 (en) 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
EP1352295B1 (en) 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6500755B2 (en) 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6660245B1 (en) 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
JP2002254464A (ja) * 2001-02-28 2002-09-11 Ibiden Co Ltd プレス成形用型及びその製造方法
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6483174B1 (en) 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030127002A1 (en) 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
JP2003285341A (ja) * 2002-01-22 2003-10-07 Ist:Kk ポリイミド管状物の製造方法
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6824378B2 (en) 2002-05-31 2004-11-30 3M Innovative Properties Company Microreplication tool with gas release features
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
JP4170735B2 (ja) 2002-11-13 2008-10-22 信越化学工業株式会社 ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6790790B1 (en) 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
JP2004202602A (ja) 2002-12-24 2004-07-22 Sony Corp 微小構造体の製造方法、及び型材の製造方法
US6960327B2 (en) 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
JP2005001239A (ja) * 2003-06-12 2005-01-06 Towa Corp 樹脂成形型用材料及び樹脂成形型
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
TWI240648B (en) 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
KR100601090B1 (ko) 2003-10-14 2006-07-14 주식회사 엘지화학 다공성 템플레이트를 이용하여 제조된 고표면적 전극시스템 및 이를 이용한 전기 소자
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
WO2005082277A1 (en) 2004-02-18 2005-09-09 Stanford University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
KR101179063B1 (ko) 2004-02-23 2012-09-07 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피용 재료
JP2005267726A (ja) 2004-03-18 2005-09-29 Nokodai Tlo Kk 通気性を有するフィルムを備えるスタンパーと該スタンパーを備える成形装置
CN1938162B (zh) * 2004-03-30 2011-06-01 旭化成电子材料株式会社 中空圆柱状印刷元件及其生产方法、中空圆柱状芯材料
US20050230882A1 (en) 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
WO2005104756A2 (en) 2004-04-27 2005-11-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
JP4568544B2 (ja) 2004-06-28 2010-10-27 Towa株式会社 樹脂成形型及び樹脂成形方法
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US8075298B2 (en) * 2004-09-08 2011-12-13 Nil Technology Aps Flexible nano-imprint stamp
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US7365375B2 (en) 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
JP2006281654A (ja) * 2005-04-01 2006-10-19 Komatsu Seiren Co Ltd 成形型およびこれを使用したシームレス成形品の製造方法
KR100744068B1 (ko) 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
JP4663402B2 (ja) * 2005-05-23 2011-04-06 アジア原紙株式会社 多孔フィルムおよびその製造方法
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US20070123059A1 (en) 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
JP4872052B2 (ja) 2006-04-12 2012-02-08 独立行政法人産業技術総合研究所 微細金型コア部材
US20080000373A1 (en) 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
KR100831046B1 (ko) 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
US9298086B2 (en) 2006-11-01 2016-03-29 Koninklijke Philips N.V. Method for making relief layer
TW200826319A (en) * 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
CN1996141A (zh) * 2006-12-26 2007-07-11 西安交通大学 一种零留膜的压印模板及压印光刻图形转移方法
TWI339881B (en) * 2007-02-15 2011-04-01 Via Tech Inc Chip package
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
US8025932B2 (en) 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
WO2008126313A1 (ja) 2007-03-30 2008-10-23 Pioneer Corporation インプリント用モールドおよびインプリント用モールドの製造方法
JP4406452B2 (ja) 2007-09-27 2010-01-27 株式会社日立製作所 ベルト状金型およびそれを用いたナノインプリント装置
KR101610180B1 (ko) 2007-11-21 2016-04-07 캐논 나노테크놀로지즈 인코퍼레이티드 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택
WO2009142787A2 (en) 2008-02-18 2009-11-26 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100109201A1 (en) 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
CN101477304B (zh) 2008-11-04 2011-08-17 南京大学 在复杂形状表面复制高分辨率纳米结构的压印方法
EP2221664A1 (en) 2009-02-19 2010-08-25 Solvay Solexis S.p.A. Nanolithography process
US8147704B2 (en) 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102216851B (zh) * 2008-12-04 2013-08-28 Asml荷兰有限公司 压印光刻的压印模具、设备和图案化方法
CN103454855A (zh) * 2008-12-04 2013-12-18 Asml荷兰有限公司 压印光刻的压印模具、设备和图案化方法
CN103454855B (zh) * 2008-12-04 2015-08-19 Asml荷兰有限公司 压印光刻的压印模具、设备和图案化方法

Also Published As

Publication number Publication date
CN101868760B (zh) 2013-01-16
JP2011505270A (ja) 2011-02-24
KR20100087327A (ko) 2010-08-04
US9778562B2 (en) 2017-10-03
EP2212742A1 (en) 2010-08-04
EP2212742B1 (en) 2014-07-02
KR101610180B1 (ko) 2016-04-07
SG185929A1 (en) 2012-12-28
US20090140458A1 (en) 2009-06-04
JP5727788B2 (ja) 2015-06-03
EP2212742A4 (en) 2012-06-06
WO2009067241A1 (en) 2009-05-28

Similar Documents

Publication Publication Date Title
CN101868760B (zh) 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物
US20100072671A1 (en) Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) Nano-imprint lithography templates
JP5502095B2 (ja) 高歩留まりナノインプリント・リソグラフィ・テンプレートの製造
US9958770B2 (en) Pellicle for EUV lithography
US8142703B2 (en) Imprint lithography method
KR101739331B1 (ko) 임프린트 리소그래피 주형
Griffete et al. Introduction of a planar defect in a molecularly imprinted photonic crystal sensor for the detection of bisphenol A
US20100109201A1 (en) Nano-Imprint Lithography Template with Ordered Pore Structure
US20070243279A1 (en) Imprint Lithography Template to Facilitate Control of Liquid Movement
Verschuuren et al. Nanoscale spatial limitations of large-area substrate conformal imprint lithography
US8541053B2 (en) Enhanced densification of silicon oxide layers
Ro et al. Cubic Silsesquioxanes as a Green, High‐Performance Mold Material for Nanoimprint Lithography
WO2011025522A1 (en) Functional nanoparticles
US20180229262A1 (en) Method for self-assembly of nanoparticles on substrate
JP2010128504A (ja) 機能性液体を含む保持マトリクスの製造方法
Schift et al. Transparent hybrid polymer stamp copies with sub-50-nm resolution for thermal and UV-nanoimprint lithography
US20140212534A1 (en) Fabrication of High-Throughput Nano-Imprint Lithography Templates
TWI409583B (zh) 用於奈米壓印微影術之多孔性模板及壓印堆疊體
Boyer et al. Microfabrication with smooth thin carbon nanotube composite sheets
Nakamatsu et al. Fabrication of high-aspect Si structures by deep reactive ion etching using hydrogen silsesquioxane masks replicated by room temperature nanoimprinting
KR100558967B1 (ko) 미세전자 및 광학소자제작에 유용한 규칙적인 양각 패턴의형성방법
Haettner Nanoimprint Lithography for Molecular-Motor-Based Devices
Verschuuren et al. Large-Area Substrate-Conformal Nano-Imprint Lithography
Scaffaro et al. Reliability and Fabrication of Molds for Nanoimprinting

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130116

Termination date: 20201121