KR20070098556A - Substrate loading stage and substrate processing apparatus - Google Patents

Substrate loading stage and substrate processing apparatus Download PDF

Info

Publication number
KR20070098556A
KR20070098556A KR1020070028843A KR20070028843A KR20070098556A KR 20070098556 A KR20070098556 A KR 20070098556A KR 1020070028843 A KR1020070028843 A KR 1020070028843A KR 20070028843 A KR20070028843 A KR 20070028843A KR 20070098556 A KR20070098556 A KR 20070098556A
Authority
KR
South Korea
Prior art keywords
substrate
pin
plasma
lifting
main body
Prior art date
Application number
KR1020070028843A
Other languages
Korean (ko)
Other versions
KR100887459B1 (en
Inventor
겐지 아마노
요시투구 다나카
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070098556A publication Critical patent/KR20070098556A/en
Application granted granted Critical
Publication of KR100887459B1 publication Critical patent/KR100887459B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

A substrate mount and a substrate process apparatus are provided to perform a uniform plasma treatment process by decreasing a non-uniformity of an electromagnetic field applied on a sheath region corresponding to a hole on an elevation pin. A substrate mount contains a substrate in a process container of a plasma treatment device. The substrate mount includes a main body and plural elevation pins(30). The elevation pins penetrate the main body in a normal direction and arranged to be freely elevated with respect to a surface of the main body. The elevation pins support the substrate. At least an end portion of the elevation pin is conductive. The elevation pin is moved between a retraction position and a support position. When the elevation pin is arranged at the retraction position, the height of the end portion of the elevation pin is adjusted to be lower than that of a rear surface of the substrate by 70 to 130mum.

Description

기판 탑재대 및 기판 처리 장치{SUBSTRATE LOADING STAGE AND SUBSTRATE PROCESSING APPARATUS}SUBSTRATE LOADING STAGE AND SUBSTRATE PROCESSING APPARATUS}

도 1은 본 발명의 일 실시예에 따른 기판 탑재대로서의 서셉터가 마련된 처리 장치의 일례인 플라즈마 에칭 장치를 나타내는 단면도,1 is a cross-sectional view showing a plasma etching apparatus which is an example of a processing apparatus provided with a susceptor as a substrate mounting table according to an embodiment of the present invention;

도 2는 서셉터에 있어서의 승강 핀의 배치를 설명하기 위한 평면도,2 is a plan view for explaining the arrangement of the lifting pins in the susceptor;

도 3은 승강 핀이 후퇴 위치에 있을 때의 서셉터의 상부를 확대하여 나타내는 단면도,3 is an enlarged cross-sectional view showing the upper part of the susceptor when the lifting pin is in the retracted position;

도 4는 서셉터에 있어서의 승강 핀의 높이 위치 조정 기구를 포함하는 부분을 확대하여 나타내는 단면도,4 is an enlarged cross-sectional view showing a portion including the height position adjustment mechanism of the lift pins in the susceptor;

도 5는 가장자리 승강 핀과 중앙 승강 핀을 독립적으로 승강하기 위한 기구를 나타내는 모식도,5 is a schematic diagram showing a mechanism for independently lifting the edge lifting pin and the center lifting pin;

도 6은 종래의 에칭 처리 시의 승강 핀의 높이 위치를 나타내는 모식도,6 is a schematic diagram showing a height position of a lifting pin in a conventional etching process;

도 7은 본 발명의 효과를 나타내기 위한 실험에 이용한 장치의 중앙 승강 핀의 배치를 나타내는 도면이다.7 is a view showing the arrangement of the center lift pin of the apparatus used in the experiment for showing the effect of the present invention.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

1 : 플라즈마 에칭 장치 2 : 챔버(처리 용기)1: plasma etching apparatus 2: chamber (processing container)

4 : 서셉터(기판 탑재대) 4a : 서셉터 본체(탑재대 본체)4: susceptor (substrate mounting base) 4a: susceptor main body (mounting base main body)

5 : 기재 5a : 볼록부5 base material 5a convex portion

6 : 절연 부재 7 : 스페이서 부재6: insulation member 7: spacer member

11 : 샤워 헤드(가스 공급 수단) 20 : 배기 장치11 shower head (gas supply means) 20 exhaust device

25a : 고주파 전원(플라즈마 생성 수단)25a: high frequency power supply (plasma generating means)

30 : 승강 핀 30a : 가장자리 승강 핀30: lifting pin 30a: edge lifting pin

30b : 중앙 승강 핀 35 : 하부 핀30b: center lift pin 35: lower pin

40 : 지지 부재 44 : 벨로우즈40 support member 44 bellows

50 : 높이 위치 조정 기구 G : 유리 기판50: height positioning mechanism G: glass substrate

본 발명은, 액정 표시 장치(LCD) 등의 평판 디스플레이(FPD) 제조용 유리 기판이나 반도체 웨이퍼 등의 기판에 대하여 드라이 에칭 등의 처리를 실시하는 기판 처리 장치에 있어서 처리 용기 내에서 기판을 탑재하는 기판 탑재대 및 이 기판 탑재대를 적용한 기판 처리 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention provides a substrate for mounting a substrate in a processing container in a substrate processing apparatus that performs a process such as dry etching on a glass substrate for manufacturing a flat panel display (FPD) such as a liquid crystal display (LCD) or a substrate such as a semiconductor wafer. It relates to a mounting table and a substrate processing apparatus to which the substrate mounting table is applied.

예컨대, FPD나 반도체의 제조 프로세스에 있어서는, 피처리 기판인 유리 기판이나 반도체 웨이퍼에 대하여, 드라이 에칭이나 스퍼터링, CVD(화학 기상 성장) 등의 각종 처리가 행해진다.For example, in the manufacturing process of an FPD and a semiconductor, various processes, such as dry etching, sputtering, CVD (chemical vapor deposition), are performed with respect to the glass substrate and semiconductor wafer which are a to-be-processed substrate.

이러한 처리는, 예컨대, 챔버 내에 마련된 기판 탑재대에 기판을 탑재한 상태로 행해지고, 기판 탑재대에 대한 기판의 로딩 및 언로딩은 기판 탑재대가 구비하고 있는 복수의 승강 핀을 승강시킴으로써 행해진다. 즉, 기판을 로딩할 때에는, 승강 핀을 탑재대 본체의 표면으로부터 돌출한 상태로 하여, 반송 암에 탑재된 기판을 핀 위로 이동시키고, 승강 핀을 하강시킨다. 또한, 기판을 언로딩할 때에는, 기판이 탑재대 본체에 탑재되어 있는 상태로부터 승강 핀을 상승시켜 기판을 탑재대 본체 표면으로부터 상승시키고, 그 상태에서 기판을 반송 암으로 이동시킨다. 이러한 기술은 관용 기술이며, 예컨대, 특허 문헌 1에 개시되어 있다.Such a process is performed, for example, with the board | substrate mounted on the board | substrate mounting table provided in the chamber, and loading and unloading of a board | substrate with respect to a board | substrate mounting board are performed by elevating the several lifting pins with which the board mounting board is equipped. That is, when loading a board | substrate, the lifting pin is made to protrude from the surface of a mounting base main body, the board | substrate mounted in a conveyance arm is moved to a pin, and a lifting pin is lowered. Moreover, when unloading a board | substrate, a lifting pin is raised from the state in which the board | substrate is mounted in the mounting board main body, the board | substrate is raised from the mounting board main body surface, and a board | substrate is moved to a conveyance arm in that state. This technique is a conventional technique, and is disclosed in Patent Document 1, for example.

LCD로 대표되는 FPD용 유리 기판은 대형화가 지향되고 있고, 1변이 2m을 넘는 거대한 것도 요구되고 있어, 이러한 대형 기판을 승강 핀으로 지지하고자 하는 경우에는, 종래와 같은 기판의 가장자리부만의 지지에서는 유리 기판에 휨이 발생하여 정확한 기판의 로딩 및 언로딩을 할 수 없을 우려가 있기 때문에, 기판의 중앙부에도 승강 핀을 마련할 수밖에 없다. 그 때문에, 유리 기판의 중앙부에도 승강 핀을 마련하고 있다.The glass substrate for FPD represented by LCD is aimed at enlargement, and one side is required to be huge beyond 2m, and when it is going to support such a large board | substrate with a lifting pin, in support of only the edge part of the board | substrate like conventionally, Since warpage may occur in the glass substrate and accurate loading and unloading of the substrate may not be possible, lift pins are inevitably provided at the center of the substrate. Therefore, the lifting pin is also provided in the center part of a glass substrate.

그런데, FPD용 유리 기판에 대하여 플라즈마 에칭을 하는 에칭 장치의 경우, 챔버 내에 한 쌍의 평행 평판 전극(상부 및 하부 전극)을 배치하고, 기판 탑재대가 하부 전극으로서 기능한다. 그리고 이러한 하부 전극에 상술한 바와 같이 유리 기판의 중앙부에 승강 핀을 마련하는 경우, 에칭 처리의 면내 균일성을 높이는 관점으로부터, 승강 핀으로서 도전성을 가진 것을 이용하여 하부 전극과 동 전위로 하여, 에칭 처리의 균일성을 확보하려 하고 있다.By the way, in the case of the etching apparatus which performs plasma etching with respect to the glass substrate for FPD, a pair of parallel flat electrode (upper and lower electrode) is arrange | positioned in a chamber, and a board | substrate mounting board functions as a lower electrode. And when providing a lifting pin in the center part of a glass substrate as mentioned above in such a lower electrode, from a viewpoint of raising the in-plane uniformity of an etching process, etching is carried out using the thing which has electroconductivity as a lifting pin at the same potential as a lower electrode. Attempts to ensure uniformity of treatment.

(특허 문헌 1) 일본 공개 특허 공보 평11-340208호(Patent Document 1) Japanese Unexamined Patent Publication No. 11-340208

그러나, 유리 기판이나 석영 기판 등의 절연성 기판을 플라즈마 에칭과 같은 플라즈마 처리를 하는 경우, 기판 탑재대에 형성된 승강 핀의 관통 구멍에 대응하는 부분에서 시스(sheath) 영역의 전자계가 불균일하게 되고, 그에 따라 승강 핀의 구멍의 바로 위의 위치에 있어서의 에칭 레이트는 다른 부분과는 달라지는 것이 밝혀졌다. 그리고, 이것이 결과적으로 에칭 잔류물 등을 발생시키는 요인으로 된다.However, when an insulating substrate such as a glass substrate or a quartz substrate is subjected to plasma treatment such as plasma etching, the electromagnetic field of the sheath region becomes nonuniform at a portion corresponding to the through hole of the lifting pin formed on the substrate mounting table. Thus, it was found that the etching rate at the position just above the hole of the lifting pin is different from other parts. As a result, this becomes a factor for generating an etching residue or the like.

본 발명은 이러한 사정을 감안해서 이루어진 것으로서, 기판에 플라즈마 처리를 할 때에, 탑재대 본체의 승강 핀의 관통 구멍에 대응하는 위치에서의 처리의 불균일이 발생하기 어려운 기판 탑재대 및 그와 같은 기판 탑재대를 구비한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.This invention is made | formed in view of such a situation, and when carrying out a plasma process to a board | substrate, the board mounting stand and such board mounting which a process nonuniformity in a position corresponding to the through-hole of the lifting pin of a mounting board main body are hard to generate | occur | produce An object of the present invention is to provide a plasma processing apparatus having a stage.

상기 과제를 해결하기 위해, 본 발명의 제 1 관점에서는, 기판에 대하여 플라즈마 처리를 하는 플라즈마 처리 장치의 처리 용기 내에 기판을 탑재하는 기판 탑재대로서, 탑재대 본체와, 상기 탑재대 본체에 대하여 연직으로 끼워 통하게 하고, 상기 탑재대 본체의 표면에 대하여 돌몰(突沒; 튀어나오고 들어감)하도록 승강 자재하게 마련되고, 그 선단에서 기판을 지지하여 승강시키는 복수의 승강 핀을 구비하며, 상기 승강 핀은, 적어도 그 선단부가 도전성이며, 또한, 플라즈마 처리 시 에 상기 탑재대 본체 내로 후퇴하는 후퇴 위치와, 상기 탑재대 본체로부터 돌출하여 기판을 지지하는 지지 위치를 취하는 것이 가능하고, 상기 후퇴 위치에 있을 때에, 그 선단의 높이 위치가 기판의 이면으로부터 70∼130㎛ 아래쪽으로 되도록 조정되어 있는 것을 특징으로 하는 기판 탑재대를 제공한다.MEANS TO SOLVE THE PROBLEM In order to solve the said subject, from a 1st viewpoint of this invention, it is a board mounting table which mounts a board | substrate in the processing container of the plasma processing apparatus which performs a plasma processing with respect to a board | substrate, and is perpendicular | vertical with respect to a mounting board main body and the said mounting base main body. And a plurality of lifting pins, which are provided so as to lift and lower against the surface of the mount main body, and support and lift the substrate at the tip thereof. At least the tip thereof is conductive and has a retracted position that retracts into the mount body during the plasma treatment, and a support position that protrudes from the mount body to support the substrate. And the height position of the tip is adjusted so as to be 70 to 130 µm downward from the back surface of the substrate. Mounting the substrate to provide for.

상기 제 1 관점에서, 상기 승강 핀은 상기 탑재대 본체와 동 전위인 것이 바람직하다.In the first aspect, the lift pins are preferably at the same potential as the mounting body.

본 발명의 제 2 관점에서는, 기판에 대하여 플라즈마 처리를 하는 플라즈마 처리 장치의 처리 용기 내에서 기판을 탑재하고, 또한 하부 전극으로서 기능하는 기판 탑재대로서, 탑재대 본체와, 상기 탑재대 본체에 대하여 연직으로 끼워 통하게 하고, 상기 탑재대 본체의 표면에 대하여 돌몰하도록 승강 자재하게 마련되고, 그 선단에서 기판을 지지하여 승강시키는 복수의 승강 핀을 구비하며, 상기 승강 핀은, 플라즈마 처리 시에 상기 탑재대 본체 내로 후퇴하는 후퇴 위치와, 상기 탑재대 본체로부터 돌출하여 기판을 지지하는 지지 위치를 취하는 것이 가능하고, 또한, 기판의 가장자리부를 지지하는 복수의 제 1 승강 핀과, 기판의 중심부를 지지하는 1 이상의 제 2 승강 핀을 갖고, 상기 제 2 승강 핀은, 적어도 그 선단부가 도전성이며, 상기 후퇴 위치에 있을 때에, 그 선단의 높이 위치가, 기판의 이면으로부터 70∼130㎛ 아래쪽으로 되도록 조정되어 있는 것을 특징으로 하는 기판 탑재대를 제공한다.In a second aspect of the present invention, a substrate mounting table that mounts a substrate in a processing vessel of a plasma processing apparatus that performs a plasma treatment on the substrate and also functions as a lower electrode, with respect to the mounting table main body and the mounting table main body. It is provided so as to elevate vertically and rush against the surface of the mounting table main body, and has a plurality of lifting pins for supporting and lifting the substrate at its tip, wherein the lifting pin is mounted on the plasma processing It is possible to take a retracted position for retreating into the main body, a support position for protruding from the mount main body to support the substrate, and a plurality of first lifting pins for supporting the edge of the substrate, and for supporting the central portion of the substrate. At least the tip end part is electroconductive, and it has at least the 2nd lifting pin and the said 2nd lifting pin has the said retraction position When present, the height position of the front end is adjusted so that it may be 70-130 micrometers downward from the back surface of a board | substrate, The board mounting stand characterized by the above-mentioned.

상기 제 2 관점에서, 상기 제 2 승강 핀은 상기 탑재대 본체와 동 전위인 것이 바람직하다. 또한, 상기 제 2 관점에서, 상기 제 1 승강 핀과 상기 제 2 승강 핀의 승강을 독립적으로 제어하는 제어부를 더 구비하는 것이 바람직하다.From the second point of view, it is preferable that the second lifting pin has the same potential as the mounting body. In addition, it is preferable to further include a control unit that independently controls the lifting of the first lifting pin and the second lifting pin from the second viewpoint.

상기 제 1 또는 제 2 관점에 따른 발명은, 탑재되는 기판이 절연성 기판인 것에 의해 특히 효과적이다. 또한, 상기 제 1 또는 제 2 관점에서, 상기 승강 핀의 높이 위치를 조정하는 높이 위치 조정 기구를 더 구비하는 것이 바람직하다. 또한, 상기 탑재대 본체는, 그 표면에 절연체로 이루어지는 복수의 볼록부를 갖고, 기판은 이 볼록부 상에 탑재되도록 구성할 수 있다. 또한, 상기 탑재대 본체에는, 플라즈마 생성을 위한 고주파 전력이 공급되도록 구성할 수 있다. 아울러, 상기 탑재대 본체는, 상기 처리 용기의 저벽과의 사이에 공간이 형성되도록 절연 부재로 이루어지는 스페이서를 통해 처리 용기 내에 배치되고, 상기 공간은 대기 분위기로 되고, 상기 탑재대 본체는, 상기 공간을 관통하는 볼트에 의해 상기 처리 용기의 저벽에 고정되도록 구성할 수 있다.The invention according to the first or second aspect is particularly effective because the substrate to be mounted is an insulating substrate. Moreover, it is preferable to further provide the height position adjustment mechanism which adjusts the height position of the said lift pin from a said 1st or 2nd viewpoint. Moreover, the said mounting base main body has a some convex part which consists of an insulator on the surface, and a board | substrate can be comprised so that it may be mounted on this convex part. The mounting body may be configured to supply high frequency power for plasma generation. In addition, the mounting table main body is disposed in the processing container through a spacer made of an insulating member so that a space is formed between the bottom wall of the processing container, the space is an atmospheric atmosphere, and the mounting table main body is the space It can be configured to be fixed to the bottom wall of the processing container by a bolt passing through the.

본 발명의 제 3 관점에서는, 기판을 수용하는 처리 용기와, 상기 처리 용기 내에 마련되어, 기판이 탑재되는 기판 탑재대와, 상기 처리 용기 내에 처리 가스를 공급하는 처리 가스 공급 기구와, 상기 처리 용기 내를 배기하는 배기 기구와, 상기 처리실 내에 처리 가스의 플라즈마를 생성하는 플라즈마 생성 기구를 구비하고, 기판에 대하여 플라즈마 처리를 실시하는 플라즈마 처리 장치로서, 상기 기판 탑재대는 상기 제 1 관점 또는 제 2 관점의 구성을 갖는 것을 특징으로 하는 기판 처리 장치를 제공한다.In the third aspect of the present invention, there is provided a processing container for accommodating a substrate, a substrate mounting table provided in the processing container, on which the substrate is mounted, a processing gas supply mechanism for supplying a processing gas into the processing container, and the processing container. And a plasma generating mechanism for generating plasma of a processing gas in the processing chamber, wherein the substrate mounting table is formed according to the first or second aspect of the present invention. Provided is a substrate processing apparatus having a configuration.

상기 제 3 관점에서, 상기 플라즈마 생성 기구는, 하부 전극으로서 기능하는 상기 기판 탑재대와, 기판 탑재대에 대향하여 마련된 상부 전극과, 기판 탑재대에 고주파 전력을 인가하는 고주파 전원을 갖는 구성으로 할 수 있다.In the third aspect, the plasma generating mechanism includes a substrate mounting table that functions as a lower electrode, an upper electrode provided to face the substrate mounting table, and a high frequency power source for applying high frequency power to the substrate mounting table. Can be.

이하, 첨부 도면을 참조하여, 본 발명의 실시예에 대하여 설명한다. 도 1은 본 발명의 일 실시예에 따른 기판 탑재대로서의 서셉터가 마련된 처리 장치의 일례인 플라즈마 에칭 장치를 나타내는 단면도이다. 이 플라즈마 에칭 장치(1)는, FPD용 유리 기판 G의 소정 처리를 행하는 장치의 단면도이며, 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있다. 여기서, FPD로는, 액정 모니터(LCD), 발광 다이오드(LED) 디스플레이, 전자 발광(Electro Luminescence; EL) 디스플레이, 형광 표시관(Vacuum Fluorescent Display : VFD), 플라즈마 디스플레이 패널(PDP) 등이 예시된다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described with reference to an accompanying drawing. 1 is a cross-sectional view showing a plasma etching apparatus which is an example of a processing apparatus provided with a susceptor as a substrate mounting table according to an embodiment of the present invention. This plasma etching apparatus 1 is sectional drawing of the apparatus which performs the predetermined process of the glass substrate G for FPDs, and is comprised as a capacitively coupled parallel plate plasma etching apparatus. Here, examples of the FPD include a liquid crystal monitor (LCD), a light emitting diode (LED) display, an electroluminescence (EL) display, a fluorescent fluorescence display (VFD), a plasma display panel (PDP), and the like.

이 플라즈마 에칭 장치(1)는, 예컨대, 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 각진 통 형상으로 성형된 챔버(2)를 갖고 있다.This plasma etching apparatus 1 has the chamber 2 shape | molded in the shape of the angular cylinder which consists of aluminum whose surface was anodized (anodic oxidation treatment), for example.

이 챔버(2) 내의 바닥부에는 피처리 기판인 유리 기판 G를 탑재하기 위한 기판 탑재대인 서셉터(4)가 마련되어 있다. 이 서셉터(4)는 서셉터 본체(4a)와, 서셉터 본체(4a)로의 유리 기판 G의 로딩 및 언로딩을 하기 위한 복수의 승강 핀(30)을 갖고 있다.The susceptor 4 which is a board | substrate mounting table for mounting the glass substrate G which is a to-be-processed substrate is provided in the bottom part in this chamber 2. The susceptor 4 has a susceptor main body 4a and a plurality of lifting pins 30 for loading and unloading the glass substrate G into the susceptor main body 4a.

서셉터 본체(4a)에는, 고주파 전력을 공급하기 위한 급전선(23)이 접속되어 있다. 이 급전선(23)은 도중에서 급전선(23a) 및 급전선(23b)으로 분기되어 있고, 급전선(23a)에는 정합기(24a) 및 플라즈마 생성용 고주파 전원(25a)이 접속되고, 급전선(23b)에는 정합기(24b) 및 바이어스 생성용 고주파 전원(25)이 접속되어 있 다. 플라즈마 생성용의 고주파 전원(25a)의 주파수는 10∼100㎒의 범위이며, 예컨대, 13.56㎒이다. 바이어스 생성용 고주파 전원(25b)은 서셉터 본체(4a)에 이온을 주입하기 위한 것이고, 플라즈마 생성용 고주파 전원(25a)보다 낮은 주파수인 50㎑∼10㎒ 범위의 주파수가 이용되며, 예컨대, 3.2㎒이다.The susceptor main body 4a is connected with a feed line 23 for supplying high frequency power. The feeder line 23 is branched into the feeder line 23a and the feeder line 23b on the way, and the feeder line 23a is connected to the matcher 24a and the high frequency power supply 25a for plasma generation, and to the feeder line 23b. The matcher 24b and the high frequency power supply 25 for bias generation are connected. The frequency of the high frequency power supply 25a for plasma generation is in the range of 10 to 100 MHz, for example, 13.56 MHz. The high frequency power supply 25b for bias generation is for implanting ions into the susceptor body 4a, and a frequency in the range of 50 Hz to 10 MHz, which is lower than the high frequency power supply 25 a for plasma generation, is used, for example, 3.2. MHz.

상기 서셉터(4)의 위쪽에는, 이 서셉터(4)와 평행하게 대향하여 상부 전극으로서 기능하는 샤워 헤드(11)가 마련된다. 샤워 헤드(11)는 챔버(2)의 상부에 지지되어 있고, 내부에 내부 공간(12)을 갖고, 또한 서셉터(4)와의 대향면에 처리 가스를 토출하는 복수의 토출 구멍(13)이 형성되어 있다. 이 샤워 헤드(11)는 접지되어 있고, 서셉터(4)와 함께 한 쌍의 평행 평판 전극을 구성하고 있다.Above the susceptor 4, a shower head 11 is provided which faces the susceptor 4 in parallel and functions as an upper electrode. The shower head 11 is supported on the upper part of the chamber 2, and has the internal space 12 inside, and the some discharge hole 13 which discharges a process gas in the surface facing the susceptor 4 is provided. Formed. The shower head 11 is grounded and constitutes a pair of parallel flat electrodes together with the susceptor 4.

샤워 헤드(11)의 상면에는 가스 도입구(14)가 마련되고, 이 가스 도입구(14)에는, 처리 가스 공급관(15)이 접속되어 있고, 이 처리 가스 공급관(15)에는, 밸브(16) 및 매스 플로우 제어부(17)를 통해, 처리 가스 공급원(18)이 접속되어 있다. 처리 가스 공급원(18)으로부터는, 에칭을 위한 처리 가스가 공급된다. 처리 가스로는, 할로겐계의 가스, O2 가스, Ar 가스 등, 통상 이 분야에 이용되는 가스를 이용할 수 있다.The gas introduction port 14 is provided in the upper surface of the shower head 11, The process gas supply pipe 15 is connected to this gas introduction port 14, The valve 16 is connected to this process gas supply pipe 15. ) And the mass flow control unit 17, the processing gas supply source 18 is connected. The process gas for etching is supplied from the process gas supply source 18. As the processing gas, a gas usually used in this field, such as a halogen gas, O 2 gas, or Ar gas, can be used.

상기 챔버(2)의 바닥부에는 배기관(19)이 형성되어 있고, 이 배기관(19)에는 배기 장치(20)가 접속되어 있다. 배기 장치(20)는 터보 분자 펌프 등의 진공 펌프(기압 양수기)를 구비하고 있고, 이에 따라 챔버(2) 내를 소정의 감압 분위기까지 진공 흡인 가능하도록 구성되어 있다. 또한, 챔버(2)의 측벽에는 기판 반입출 구(21)와, 이 기판 반입출구(21)를 개폐하는 게이트 밸브(22)가 마련되어 있고, 이 게이트 밸브(22)를 연 상태로 기판 G가 인접하는 로드록실(도시하지 않음)과의 사이에서 반송되게 되어 있다.An exhaust pipe 19 is formed at the bottom of the chamber 2, and an exhaust device 20 is connected to the exhaust pipe 19. The exhaust device 20 includes a vacuum pump (air pressure pump) such as a turbo molecular pump, and is thus configured to be capable of vacuum suction in the chamber 2 to a predetermined pressure-reduced atmosphere. In addition, the side wall of the chamber 2 is provided with a board | substrate carrying in and out port 21, and the gate valve 22 which opens and closes this board | substrate carrying in and out 21, and the board | substrate G is opened with this gate valve 22 opened. It is conveyed between adjacent load lock chambers (not shown).

다음에, 본 발명의 일 실시예에 따른 기판 탑재대인 서셉터(4)에 대하여, 도 1 외에, 도 2∼4도 참조하여 설명한다. 도 2는 서셉터(4)에 있어서의 승강 핀의 배치를 설명하기 위한 평면도, 도 3은 승강 핀이 후퇴 위치에 있을 때의 서셉터(4)의 상부를 확대하여 나타내는 단면도, 도 4는 서셉터(4)에 있어서의 승강 핀의 높이 위치 조정 기구를 포함하는 부분을 확대하여 나타내는 단면도이다.Next, the susceptor 4, which is a substrate mounting table according to one embodiment of the present invention, will be described with reference to FIGS. FIG. 2 is a plan view for explaining the arrangement of the lifting pins in the susceptor 4, FIG. 3 is an enlarged cross-sectional view showing the upper part of the susceptor 4 when the lifting pins are in the retracted position, and FIG. It is sectional drawing which expands and shows the part containing the height position adjustment mechanism of the lifting pin in the acceptor 4.

이 서셉터(4)는, 상술한 바와 같이, 서셉터 본체(4a)와 복수의 승강 핀(30)을 갖고 있고, 서셉터 본체(4a)는 금속제의 기재(5)와 기재(5)의 가장자리에 마련된 절연 부재(6)를 갖고 있다. 또한, 승강 핀(30)은 도전성 재료, 전형적으로는 금속으로 이루어지고, 도 2에 나타내는 바와 같이, 유리 기판 G의 가장자리를 지지하는 가장자리 승강 핀(30a)과 중앙 승강 핀(30b)으로 분류되고, 가장자리 승강 핀(30a)은 서셉터 본체(4a)의 장변에는 3개, 단변에는 2개 총 10개가 마련되어 있고, 중앙 승강 핀(30b)은 중앙부에 3개 마련된다. 단, 승강 핀의 수는 이것에 한정되는 것이 아니라, 피처리 기판의 크기에 따라 적절히 최적의 개수로 설정된다.As described above, the susceptor 4 has a susceptor main body 4a and a plurality of lifting pins 30, and the susceptor main body 4a is formed of a metal base 5 and a base 5. It has the insulating member 6 provided in the edge. Incidentally, the lifting pin 30 is made of a conductive material, typically a metal, and is classified into an edge lifting pin 30a and a center lifting pin 30b that support the edge of the glass substrate G, as shown in FIG. The edge elevating pin 30a is provided on the long side of the susceptor main body 4a, three on the long side, and two on the short side. A total of three center elevating pins 30b are provided on the center portion. However, the number of lifting pins is not limited to this, but is set to an optimal number suitably according to the magnitude | size of a to-be-processed substrate.

도 1에 나타내는 바와 같이, 챔버(2)의 저벽(2a)에는 서셉터 본체(4a)의 가장자리부에 대응하도록 절연체로 이루어지는 스페이서 부재(7)가 마련되어 있고, 그 위에 서셉터 본체(4a)가 탑재되어 있다. 스페이서 부재(7)와 저벽(2a) 사이, 스페이서 부재(7)와 서셉터 본체(4a) 사이는 기밀하게 밀봉되어 있고, 서셉터 본 체(4a)와 저벽(2a) 사이에 대기 분위기의 공간(31)이 형성되어 있다. 그리고, 이 공간(31)에 의해 대기 절연이 도모되고 있다. 저벽(2a)에는, 세라믹 등의 절연체로 이루어지는 복수의 절연 부재(32)가 매설되어 있고, 이들 복수의 절연 부재(32)의 중심에 연직으로 마련된 관통 구멍에 각각 삽입된 복수의 볼트(33)에 의해 저벽(2a)과 서셉터 본체(4a)가 고정되게 되어 있다. 이와 같이 볼트(33)에 의해 저벽(2a)과 서셉터 본체(4a)를 고정함으로써, 챔버(2) 내가 진공으로 유지되어도 서셉터 본체(4a)가 휘는 것이 방지된다.As shown in FIG. 1, the spacer member 7 which consists of an insulator is provided in the bottom wall 2a of the chamber 2 so that the edge part of the susceptor main body 4a may be provided, and the susceptor main body 4a is provided on it. It is mounted. The airtight space is sealed between the spacer member 7 and the bottom wall 2a, and between the spacer member 7 and the susceptor body 4a, and the space between the susceptor body 4a and the bottom wall 2a. (31) is formed. Atmospheric insulation is achieved by this space 31. A plurality of insulating members 32 made of an insulator such as ceramics is embedded in the bottom wall 2a, and a plurality of bolts 33 respectively inserted into through holes vertically provided in the center of the plurality of insulating members 32. The bottom wall 2a and the susceptor main body 4a are fixed by this. Thus, by fixing the bottom wall 2a and the susceptor main body 4a with the bolt 33, the susceptor main body 4a is prevented even if the inside of the chamber 2 is kept in vacuum.

서셉터 본체(4a)의 상면, 즉 기재(5)의 표면에는, 유전체 재료로 이루어지는 복수의 볼록부(5a)가 돌기 형상으로 형성되어 있고, 이들 볼록부(5a)는 절연 부재(6)에 의해 주위가 둘러싸인 상태로 되어 있다. 절연 부재(6)의 상면과 볼록부(5a)의 상면은 같은 높이로 되어 있고, 유리 기판 G를 서셉터 본체(4a)에 탑재하는 경우에는, 절연 부재(6)의 상면 및 볼록부(5a)의 상면에 접촉한 상태로 된다. 또한, 도 3에 나타내는 바와 같이, 볼록부(5a)의 아래에는 볼록부(5a)와 마찬가지의 유전체 재료로 이루어지는 얇은 유전체층(5b)이 형성되어 있다.On the upper surface of the susceptor main body 4a, that is, the surface of the base material 5, a plurality of convex portions 5a made of a dielectric material are formed in a projection shape, and these convex portions 5a are formed on the insulating member 6. It is in the state surrounded by. The upper surface of the insulating member 6 and the upper surface of the convex portion 5a have the same height, and when the glass substrate G is mounted on the susceptor body 4a, the upper surface and the convex portion 5a of the insulating member 6 are mounted. ) Is in contact with the upper surface. 3, the thin dielectric layer 5b which consists of the same dielectric material as the convex part 5a is formed under the convex part 5a.

도 3에 나타내는 바와 같이, 승강 핀(30)의 선단부는 서셉터 본체(4a)의 기재(5)에 마련된 관통 구멍(5c)에 끼워 통하게 되어 있다. 그리고, 도시하지 않은 구동 장치로 승강하게 되어 있고, 플라즈마 에칭 시에는, 도 3에 나타내는 바와 같이, 서셉터 본체(4a) 내로 후퇴한 후퇴 위치에 위치하고, 유리 기판 G의 로딩 및 언로딩의 시에는 서셉터 본체(4a)의 표면으로부터 위쪽으로 돌출한 상태로 유리 기판 G를 지지하는 지지 위치에 위치하게 되어 있다.As shown in FIG. 3, the front-end | tip part of the lifting pin 30 is fitted through the through-hole 5c provided in the base material 5 of the susceptor main body 4a. And it moves up and down by the drive device which is not shown in figure, It is located in the retreat position which retreated in the susceptor main body 4a at the time of plasma etching, and at the time of loading and unloading of the glass substrate G, It is located in the support position which supports the glass substrate G in the state which protruded upwards from the surface of the susceptor main body 4a.

그리고, 승강 핀(30)은 플라즈마 에칭 시의 후퇴 위치에 있을 때에는, 도 3에 나타내는 바와 같이, 그 선단의 높이 위치가 유리 기판 G의 이면으로부터 70∼130㎛의 위치로 되도록 높이가 조정되어 있다. 이와 같이 높이를 조정함으로써, 플라즈마 에칭 시에 관통 구멍(5c) 바로 위의 위치에서의 시스 영역의 전자계 불균일을 해소할 수 있다.And when the lifting pin 30 is in the retreat position at the time of plasma etching, as shown in FIG. 3, the height is adjusted so that the height position of the front end may be 70-130 micrometers from the back surface of glass substrate G. As shown in FIG. . By adjusting the height in this manner, the electromagnetic field nonuniformity of the sheath region at the position immediately above the through hole 5c can be eliminated at the time of plasma etching.

또한, 도 4에 나타내는 바와 같이, 승강 핀(30)의 하단부는 도전성 재료, 전형적으로는 금속 재료로 이루어지는 하부 핀(35)에 꼽혀 있고, 하부 핀(35)의 하단은 지지 부재(40)에 나사식으로 지지되어 있다. 하부 핀(35)은 챔버(2)의 저벽(2a)에 마련된 관통 구멍(2b)을 통해 챔버(2)의 아래쪽으로 연장되어 있고, 지지 부재(40)는 챔버(2)의 바깥쪽에 위치하고 있다. 지지 부재(40)는 상부를 구성하는 도전성 부재(41)와 그 밑에 마련된 절연 부재(42)를 갖고 있다. 지지 부재(40)의 도전성 부재(41)와 서셉터 본체(4a) 사이에는, 진공 분위기와 대기 분위기를 차단하기 위한 도전성의 벨로우즈(44)가 마련된다. 따라서, 승강 핀(30)은 벨로우즈(44) 및 도전성 부재(41)를 통해 서셉터 본체(4a)와 전기적으로 연결되는 것으로 되고, 이들은 동 전위로 유지된다.In addition, as shown in FIG. 4, the lower end part of the elevating pin 30 is attached to the lower pin 35 which consists of a conductive material, typically a metal material, and the lower end of the lower pin 35 is attached to the support member 40. As shown in FIG. It is supported by screws. The lower pin 35 extends downward of the chamber 2 through the through hole 2b provided in the bottom wall 2a of the chamber 2, and the supporting member 40 is located outside the chamber 2. . The support member 40 has the electroconductive member 41 which comprises the upper part, and the insulating member 42 provided under it. Between the conductive member 41 of the support member 40 and the susceptor body 4a, a conductive bellows 44 for blocking a vacuum atmosphere and an atmospheric atmosphere is provided. Accordingly, the lifting pins 30 are electrically connected to the susceptor body 4a through the bellows 44 and the conductive member 41, and they are maintained at the same potential.

지지 부재(40)의 아래에는, 도 4에 나타내는 바와 같이, 승강 핀(30)의 높이위치를 조정하기 위한 높이 위치 조정 기구(50)가 마련된다(도 4에서는, 중앙 승강 핀(30b)의 높이 위치 조정 기구(50)만을 도시). 이 높이 위치 조정 기구(50)는 지지 부재(40)를 걸어 지지하는 계지(係止) 부재(51)와, 계지 부재(51)를 상하 방향으로 안내하는 안내 부재(52)와, 계지 부재(51)의 아래쪽에 마련되어 베이스 부 재(53)와, 베이스 부재(53)의 하면으로부터 삽입되고, 그 선단이 계지 부재(51)와 접촉하도록 마련된 복수의 조정 나사(54)를 갖고 있고, 대기 분위기에서 승강 핀의 높이 위치 조정을 할 수 있게 되어 있다. 또, 참조 부호 56은, 승강 핀(30)을 후퇴 위치와 지지 위치 사이에서 이동시키기 위한 와이어이며, 이 와이어(56)는 도시하지 않은 구동 장치에 연결되어 있다. 그리고, 구동 장치에 의해 와이어(56)를 구동시킴으로써, 승강 핀(30), 하부 핀(35) 및 지지 부재(40)가 일체로 승강하도록 되어 있다. 높이 위치 조정 기구(50)에서의 위치 정렬은 지지 부재(40)를 계지 부재(51)에 걸어 지지한 상태에서, 조정 나사(54)를 조정함으로써 행해진다.Under the support member 40, as shown in FIG. 4, the height position adjustment mechanism 50 for adjusting the height position of the elevating pin 30 is provided (in FIG. 4, of the center elevating pin 30b). Only the height positioning mechanism 50). The height position adjustment mechanism 50 includes a locking member 51 that hangs and supports the supporting member 40, a guide member 52 that guides the locking member 51 in the vertical direction, and a locking member ( It is provided below 51, and has base member 53 and some adjustment screw 54 inserted in the lower surface of base member 53, and the front end provided in contact with the locking member 51, and has an atmospheric atmosphere. It is possible to adjust the height position of the lifting pin. Reference numeral 56 denotes a wire for moving the lift pin 30 between the retracted position and the support position, and the wire 56 is connected to a drive device (not shown). Then, the lifting pin 30, the lower pin 35, and the support member 40 are raised and lowered integrally by driving the wire 56 by the drive device. Position alignment in the height position adjustment mechanism 50 is performed by adjusting the adjustment screw 54 in the state which supported the support member 40 on the locking member 51, and is supported.

승강 핀(30)에서, 가장자리 승강 핀(30a)과 중앙 승강 핀(30b)은 독립적으로 승강 가능하게 되어 있다. 즉, 도 5에 나타내는 바와 같이, 가장자리 승강 핀(30a)은 구동 장치(60a)에 의해 일괄해서, 와이어(56), 지지 부재(40) 및 하부 핀을 통해 승강하게 되어 있고, 중앙 승강 핀(30b)은 구동 장치(60b)에 의해 일괄해서, 와이어(56), 지지 부재(40) 및 하부 핀을 통해 승강하게 되어 있다. 그리고, 이들 구동 장치(60a, 60b)는 제어부(61)에 의해 제어되고, 예컨대, 가장자리 승강 핀(30a)과 중앙 승강 핀(30b)의 승강 타이밍을 어긋나게 할 수 있다.In the lift pin 30, the edge lift pin 30a and the center lift pin 30b can be lifted independently. That is, as shown in FIG. 5, the edge elevating pin 30a is raised and lowered through the wire 56, the support member 40, and the lower pin collectively by the drive device 60a, and the center elevating pin ( 30b) is collectively moved up and down by the drive device 60b via the wire 56, the support member 40, and the lower pin. And these drive devices 60a, 60b are controlled by the control part 61, for example, can shift the lifting timing of the edge lifting pin 30a and the center lifting pin 30b.

다음에, 이와 같이 구성되는 플라즈마 에칭 장치(1)에 있어서의 처리 동작에 대하여 설명한다.Next, the process operation in the plasma etching apparatus 1 comprised in this way is demonstrated.

미리 높이 위치 조정 기구(50)에 의해 후퇴 위치에서의 승강 핀(30)의 위치를 조정하여 둔다. 구체적으로는, 구동 장치에 의해 지지 부재(40)를 계지 부재(51)에 대하여 걸어 지지한 상태로 하고, 조정 나사(54)를 조정하여 계지 부 재(51)를 승강시켜, 다이얼 게이지 등을 이용하여 승강 핀(30)의 선단의 높이 위치가 서셉터 본체(4a)의 볼록부(5a)의 상면으로부터 70∼130㎛로 되도록 조정해 둔다.The position of the lifting pin 30 in the retreat position is adjusted in advance by the height position adjustment mechanism 50. Specifically, the support member 40 is held by the driving device with respect to the locking member 51, and the adjusting screw 54 is adjusted to lift the locking member 51 to raise and lower the dial gauge. It adjusts so that the height position of the front-end | tip of the lifting pin 30 may be set to 70-130 micrometers from the upper surface of the convex part 5a of the susceptor main body 4a.

이와 같이 승강 핀(30)의 위치 조정을 한 상태에서, 우선, 피처리 기판인 유리 기판 G를, 도시하지 않은 로드록실에서 도시하지 않은 반송 암에 의해 기판 반입출구(21)를 거쳐 챔버(2) 내로 반입하고, 서셉터 본체(4a)의 위, 즉, 서셉터 본체(4a)의 표면에 형성된 유전체 재료로 이루어지는 볼록부(5a) 및 절연 부재(6)의 위에 탑재한다. 이 경우에, 승강 핀(30)을 위쪽으로 돌출시켜 지지 위치에 위치시키고, 반송 암 상의 유리 기판 G를 승강 핀(30) 상으로 이동시킨다. 그 후, 승강 핀(30)을 하강시켜 유리 기판 G를 서셉터 본체(4a) 상에 탑재하여 둔다. 이 때의 승강 핀(30)의 승강 시퀀스는, 상승 시에는, 우선 가장자리 승강 핀(30a)을 먼저 상승시키고, 조금 뒤에 중앙 승강 핀(30b)을 상승시킨다. 한편, 하강 시에는, 우선 중앙 승강 핀(30b)을 하강시키고, 조금 뒤에 가장자리 승강 핀(30a)을 하강시킨다. 이 때의 지연 시간은 0.5∼2sec 정도로 한다. 이와 같이, 주변부로부터 유리 기판 G를 리프트 업함으로써, 예컨대, 서셉터 본체(4a) 상에 유리 기판 G가 밀착하고 있는 경우에도 기판 G를 용이하게 서셉터 본체(4a)로부터 분리할 수 있어, 유리 기판 G가 깨어지는 것을 방지할 수 있다. 또한, 하강 시에는, 중앙부로부터 유리 기판 G가 서셉터 본체(4a)에 탑재되기 때문에, 기판 G에 불필요한 응력 등을 부여하지 않아, 유리 기판 G의 중앙부와 서셉터 본체(4a) 사이에 극간 등을 발생시키지 않고서 유리 기판 G를 탑재할 수 있다.Thus, in the state which adjusted the position of the lifting pin 30, first, the glass substrate G which is a to-be-processed board | substrate passes through the board | substrate loading / exit 21 by the conveyance arm which is not shown in the load lock chamber which is not shown in figure. ) And mounted on the susceptor body 4a, that is, on the convex portion 5a and the insulating member 6 made of a dielectric material formed on the surface of the susceptor body 4a. In this case, the lifting pin 30 protrudes upward to be positioned at the supporting position, and the glass substrate G on the transfer arm is moved onto the lifting pin 30. Thereafter, the lifting pins 30 are lowered to mount the glass substrate G on the susceptor body 4a. The lifting sequence of the lifting pins 30 at this time first raises the edge lifting pins 30a first, and then raises the center lifting pins 30b a little later. On the other hand, at the time of descending, first, the center elevating pin 30b is lowered, and the edge elevating pin 30a is lowered a little later. The delay time at this time is about 0.5 to 2 sec. Thus, by lifting up the glass substrate G from the peripheral part, even when the glass substrate G adheres closely to the susceptor main body 4a, the board | substrate G can be easily removed from the susceptor main body 4a, for example, and glass The substrate G can be prevented from breaking. In addition, since the glass substrate G is mounted in the susceptor main body 4a from the center part at the time of descent | falling, unnecessary stress etc. are not given to the board | substrate G, and the clearance gap between the center part of the glass substrate G and the susceptor main body 4a etc. is carried out. It is possible to mount the glass substrate G without generating any.

그 후, 게이트 밸브(22)를 닫고, 배기 장치(20)에 의해, 챔버(2) 내를 소정의 진공도까지 진공 흡인한다. 그리고, 밸브(16)를 개방하여, 처리 가스 공급원(18)으로부터 처리 가스를, 매스 플로우 제어부(17)에 의해 그 유량을 조정하면서, 처리 가스 공급관(15), 가스 도입구(14)를 통해 샤워 헤드(11)의 내부 공간(12)으로 도입하고, 또한 토출 구멍(13)을 통해 기판 G에 대하여 균일하게 토출하여, 배기량을 조절하면서 챔버(2) 내를 소정 압력으로 제어한다.Thereafter, the gate valve 22 is closed, and the exhaust device 20 vacuum sucks the inside of the chamber 2 to a predetermined degree of vacuum. And the valve 16 is opened and the process gas is supplied from the process gas supply source 18 through the process gas supply pipe 15 and the gas introduction port 14, adjusting the flow volume by the mass flow control part 17. It introduce | transduces into the internal space 12 of the shower head 11, and it discharges uniformly with respect to the board | substrate G through the discharge hole 13, and controls the inside of the chamber 2 to predetermined pressure, adjusting the amount of displacement.

이 상태로 고주파 전원(25a)으로부터 정합기(24a)를 통해 플라즈마 생성용 고주파 전력을 서셉터 본체(4a)에 인가하고, 하부 전극으로서의 서셉터(4)와 상부 전극으로서의 샤워 헤드(11) 사이에 고주파 전계를 생기게 하여, 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 유리 기판 G에 에칭 처리를 실시한다. 또한, 고주파 전원(25b)으로부터는 정합기(24b)를 통해 바이어스 생성용 고주파 전력을 서셉터 본체(4a)에 인가한다.In this state, the high frequency power for plasma generation is applied to the susceptor body 4a from the high frequency power supply 25a through the matching unit 24a, and between the susceptor 4 as the lower electrode and the shower head 11 as the upper electrode. A high frequency electric field is generated in the plasma to generate a plasma of the processing gas, and the glass substrate G is etched by the plasma. In addition, the high frequency power supply 25b applies the high frequency power for bias generation to the susceptor main body 4a via the matching unit 24b.

이와 같이 에칭 처리를 할 때에, 종래에는, 도 6에 나타내는 바와 같이, 승강 핀(30)의 선단 위치를 볼록부(5a)의 저면과 거의 같은 높이로 조정하고 있었다. 즉, 볼록부(5a)의 높이는 최대 50㎛ 정도이기 때문에, 종래는 승강 핀(30)의 선단과 유리 기판 G의 이면과의 거리는 50㎛ 이하였다. 그러나, 이 상태에서는, 유리 기판 G 위쪽의 시스 영역의 관통 구멍(5c)에 대응하는 부분에서 전자계의 불균일이 발생하는 것이 밝혀졌다. 이러한 시스 영역에 전자계의 불균일이 발생하면, 그 부분의 에칭 레이트가 다른 부분과 다르게 되어, 결과적으로 에칭 잔류물이 발생한다. 특히, 본 실시예에서 이용하는 유리 기판 G 등의 절연 기판에서 그 경향이 현 저하다.When performing the etching process in this way, conventionally, as shown in FIG. 6, the tip position of the lifting pin 30 was adjusted to almost the same height as the bottom face of the convex part 5a. That is, since the height of the convex part 5a is about 50 micrometers at most, the distance of the front end of the lifting pin 30 and the back surface of glass substrate G conventionally was 50 micrometers or less. However, in this state, it turned out that the nonuniformity of an electromagnetic field generate | occur | produces in the part corresponding to the through-hole 5c of the sheath area | region above glass substrate G. When non-uniformity of the electromagnetic field occurs in such a sheath region, the etching rate of that portion is different from that of other portions, resulting in etching residues. In particular, the tendency is remarkable in insulating substrates, such as glass substrate G used by a present Example.

그래서, 본 발명자가 그 점에 대하여 검토한 결과, 이러한 시스 영역의 전자계의 불균일은, 승강 핀(30)의 높이 위치를 조정하는 것에 의해 해소 가능한 것이 밝혀졌다. 즉, 도전성의 승강 핀(30)의 선단 높이가 플라즈마의 시스 영역에 영향을 미치므로, 이 높이를 적절하게 조정함으로써, 시스 영역에서의 관통 구멍(5c)의 바로 위의 위치의 전자계의 크기를 그 주위와 같은 정도로 할 수 있어, 균일한 에칭을 할 수 있게 된다.Therefore, as a result of the present inventor's examination of the point, it turned out that the nonuniformity of the electromagnetic field of this sheath area | region can be eliminated by adjusting the height position of the lifting pin 30. That is, since the tip height of the conductive lifting pins 30 affects the sheath region of the plasma, by adjusting the height appropriately, the magnitude of the electromagnetic field at the position immediately above the through hole 5c in the sheath region is adjusted. It can be made to the same extent as the surroundings, and it becomes possible to perform uniform etching.

그리고, 승강 핀(30) 선단의 높이 위치가, 유리 기판 G의 이면으로부터 70∼130㎛ 하방, 또는 볼록부(5a)의 높이가 50㎛라고 하면, 볼록부(5a)의 저면인 서셉터 본체(4a)의 표면으로부터 20∼80㎛ 아래쪽으로 되도록 조정하면, 전자계의 불균일에 의한 에칭의 불균일을 억제할 수 있다. 승강 핀(30) 선단의 높이 위치가 70㎛보다 작으면, 승강 핀(30)의 관통 구멍(5c)의 바로 위의 부분에서, 그 주변 부분보다 많이 에칭되고, 한편, 130㎛를 넘으면 반대로 에칭되기 어렵게 되어, 승강 핀(30)의 관통 구멍(5c)의 바로 위의 부분에서, 그 주변 부분보다 적게 에칭되게 되어, 모두 균일한 에칭을 하는 것은 곤란하다.And if the height position of the tip of the lifting pin 30 is 70-130 micrometers downward from the back surface of the glass substrate G, or the height of the convex part 5a is 50 micrometers, the susceptor main body which is the bottom face of the convex part 5a. If it adjusts so that it may be 20-80 micrometers down from the surface of (4a), the nonuniformity of the etching by the nonuniformity of an electromagnetic field can be suppressed. If the height position of the tip of the lifting pin 30 is smaller than 70 µm, the portion immediately above the through hole 5c of the lifting pin 30 is etched more than its peripheral portion, while if it exceeds 130 µm, the etching is reversed. It becomes difficult to make it, and in the part immediately above the through-hole 5c of the lifting pin 30, it is etched less than the peripheral part, and it is difficult to perform uniform etching all.

이러한 승강 핀의 높이 조정은, 유리 기판 G의 대응 부분이 실제로 제품으로 되는 것이 예상되는 중앙 승강 핀(30b)에 대하여 특히 중요하다. 가장자리 승강 핀(30a)에 대응하는 위치는 통상 제품으로는 되지 않기 때문에, 가장자리 승강 핀(30a)에 대해서는 상기한 바와 같은 높이 위치 조정은 반드시 할 필요는 없다. 따라서, 중앙 승강 핀(30b)에 대해서만 상술한 바와 같이 엄밀한 높이 조정을 하 고, 가장자리 승강 핀(30a)에 대해서는 상술한 바와 같은 조정은 실행하지 않고서 목시(目視) 등 간편한 방법으로 실행하도록 하여도 좋다. 이러한 경우에는, 높이를 조정하는 승강 핀의 수를 적게 할 수 있어, 조정 작업의 노동력을 경감할 수 있다고 하는 효과가 있다.Such height adjustment of the elevating pin is particularly important for the center elevating pin 30b in which the corresponding portion of the glass substrate G is actually expected to be a product. Since the position corresponding to the edge elevating pin 30a is not normally a product, the height position adjustment as mentioned above does not necessarily need to be performed with respect to the edge elevating pin 30a. Therefore, even if only the center lifting pin 30b is precisely adjusted as described above, and the edge lifting pin 30a is not performed as described above, it is possible to carry out by a simple method such as visual inspection. good. In such a case, the number of the lifting pins for adjusting the height can be reduced, and the labor of the adjustment work can be reduced.

또한, 이러한 승강 핀의 높이 조정은 서셉터 본체(4a)의 변형이 실질적으로 발생하지 않는 것이 전제로 된다. 즉, 서셉터 본체(4a)에 변형이 발생하면, 승강 핀(30)의 위치 조정을 고정밀도로 행하여도, 유리 기판 G의 이면과 승강 핀(30)의 선단의 위치가 변화하게 된다. 승강 핀(30)의 위치 조정이 무의미하게 되어 버린다. 그러나, 유리 기판 G가 대형화되고, 이것에 동반하여 서셉터 본체(4a)가 대형화하고 있고, 또한 상술한 바와 같이 대기 절연을 채용하여 서셉터 본체(4a)와 챔버(2)의 저벽 사이를 공기 절연하고 있으므로, 챔버(2) 내를 진공 흡인했을 때에 서셉터 본체(4a)가 휨에 의하여 변형되기 쉽게 된다. 이 때문에, 본 실시예에서는, 챔버(2)의 저벽과 서셉터 본체(4a)를 복수의 볼트(33)에 의해 고정하고, 진공 흡인 시에 서셉터 본체(4a)의 휨을 방지하여 승강 핀(30)의 위치 정렬을 실효적인 것으로 하고 있다.In addition, such height adjustment of the lifting pin assumes that deformation of the susceptor main body 4a does not substantially occur. That is, when a deformation | transformation generate | occur | produces in the susceptor main body 4a, even if the position adjustment of the elevating pin 30 is performed with high precision, the position of the back surface of glass substrate G and the tip of the elevating pin 30 will change. Position adjustment of the lifting pins 30 becomes meaningless. However, the glass substrate G is enlarged, and accompanying this, the susceptor main body 4a is enlarged, and as mentioned above, air insulation is employ | adopted and air between the susceptor main body 4a and the bottom wall of the chamber 2 is made into air. Since it insulates, when the inside of the chamber 2 is vacuum-sucked, the susceptor main body 4a becomes easy to deform | transform by curvature. For this reason, in this embodiment, the bottom wall of the chamber 2 and the susceptor main body 4a are fixed with the some bolt 33, and the bending of the susceptor main body 4a is prevented at the time of vacuum suction, and the lifting pin ( The alignment of 30) is made effective.

이와 같이 하여 에칭 처리를 실시한 후, 고주파 전원(25)으로부터의 고주파 전력의 인가를 정지하고, 처리 가스 도입을 정지한 후, 챔버(2) 내의 압력을 소정 압력으로 조정하고, 승강 핀(30)에 의해 유리 기판 G를 지지 위치까지 상승시킨다. 이 때에도 상술한 바와 같이, 먼저 가장자리 승강 핀(30a)을 상승시키고, 조금 늦게 중앙 승강 핀(30b)을 상승시킨다. 이 상태로 게이트 밸브(22)를 개방하여 도시 하지 않은 반송 암을 챔버(2) 내에 삽입하고, 승강 핀(30) 상에 있는 유리 기판 G를 반송 암으로 이동시킨다. 그리고, 승강 핀(30)을 하강시킨다. 이 하강 시에도, 먼저 중앙 승강 핀(30b)을 하강시키고, 조금 늦게 가장자리 승강 핀을 하강시킨다. 한편, 반송 암에 탑재된 유리 기판 G는 기판 반입출구(21)를 통해 챔버(2) 내로부터 도시하지 않은 로드록실로 반출된다.After performing the etching process in this manner, the application of the high frequency power from the high frequency power supply 25 is stopped, and the introduction of the processing gas is stopped, the pressure in the chamber 2 is adjusted to a predetermined pressure, and the lifting pin 30 The glass substrate G is raised to a support position by this. Also at this time, as mentioned above, the edge elevating pin 30a is first raised, and the center elevating pin 30b is raised slightly later. The gate valve 22 is opened in this state, the conveyance arm which is not shown in figure is inserted in the chamber 2, and the glass substrate G on the lifting pin 30 is moved to a conveyance arm. And the lifting pin 30 is lowered. Also at this time of descending, the center elevating pin 30b is first lowered and the edge elevating pin is lowered a little later. On the other hand, the glass substrate G mounted in the conveyance arm is carried out from the inside of the chamber 2 to the load lock chamber which is not shown in figure through the board | substrate carrying in and out 21. FIG.

다음에, 본 발명에 있어서의 승강 핀의 높이 위치 조정의 효과를 확인한 실험에 대하여 설명한다.Next, the experiment which confirmed the effect of the height position adjustment of the lifting pin in this invention is demonstrated.

여기서는, 도 7에 나타내는 평면 위치에 있는 3개의 중앙 승강 핀(30b)(No.1, No.2, No.3)을 덮도록, 직사각형의 아몰퍼스 실리콘 기판을 배치하고, 핀 선단의 높이 위치를 변경하여(테스트 1∼4), 하기의 조건으로 에칭(아몰퍼스 실리콘의 에칭)을 하고, 핀 흔적의 확인(육안 확인)과 에칭 단차(핀 관통 구멍 바로 위의 위치와 핀 주변에서의 단차)의 측정을 실시했다. 도 7 중, 흰원은 중앙 승강 핀을 나타내고, 검은 원은 가장자리 승강 핀을 나타낸다. 또, 직사각형의 주변은, 대부분이 실리콘 나이트 라이드막으로 덮힌 유리 기판을 설치했다. 또한, 핀 주변이란 중앙 승강 핀의 중심점으로부터 약 30㎜ 떨어진 위치이다.Here, a rectangular amorphous silicon substrate is disposed so as to cover three center elevating pins 30b (No. 1, No. 2, No. 3) in the planar position shown in FIG. After changing (tests 1 to 4), etching (etching of amorphous silicon) was performed under the following conditions, and the identification of pin traces (visual confirmation) and the etching step (the position just above the pin through hole and the step around the pin) The measurement was performed. In FIG. 7, a white circle represents a center lift pin, and a black circle represents an edge lift pin. In addition, in the periphery of a rectangle, the glass substrate mostly covered with the silicon nitride film was provided. In addition, the pin periphery is a position about 30 mm apart from the center point of a center lift pin.

· 에칭 조건Etching Conditions

압력:<6.7PaPressure: <6.7Pa

고주파 파워High frequency power

플라즈마 생성용 고주파(13.56㎒)=18㎾High frequency (13.56 MHz) for plasma generation = 18 kHz

바이어스 생성용 고주파(3.2㎒)=7.5㎾High frequency (3.2MHz) for bias generation = 7.5kHz

에칭 가스Etching gas

Cl2/SF6=6000/427mL/min(sccm)Cl 2 / SF 6 = 6000/427 mL / min (sccm)

에칭 시간: 20secEtching Time: 20sec

결과를 표 1에 나타낸다. 또, 표 1 중, 목시에 있어서의 핀 흔적 평가의 평가 기준은, A : 핀 흔적은 짙게 시인 가능, B : 핀 흔적은 엷지만 시인 가능, C : 면에서는 거의 보이지 않고, 이면에서 간신히 시인 가능, D : 핀 흔적 없음으로 하였다.The results are shown in Table 1. In addition, in Table 1, the evaluation criteria of pin trace evaluation in visual observation are A: pin traces can be visually recognized thickly, B: pin traces are thin but visually perceptible, C: hardly visible from the surface, and barely visually recognized from the back side , D: There was no pin trace.

Figure 112007023294304-PAT00001
Figure 112007023294304-PAT00001

표 1에 나타내는 바와 같이, 중앙 승강 핀의 선단의 높이 위치가 유리 기판 G의 이면으로부터 130㎛의 위치보다 낮은 위치에 있는 테스트 1, 2에서는, 핀 흔적이 표면에서 시인 가능한 「B」가 많고, 승강 핀 바로 위의 부분의 에칭 깊이가 작은 경향이 있어, 불충분한 결과로 되었다. 한편, 승강 핀(30) 선단의 높이 위치가 기판 이면으로부터 70㎛보다 작은 50㎛(볼록부(5a)의 저면과 거의 같은 높이)인 테스트 3에서는, 에칭의 경향이 역전하고, 승강 핀의 바로 위의 부분 쪽이 에칭깊이가 커지고, 또한 핀 흔적에 대해서는 평가「A」도 존재하여, 역시 불충분한 결과로 되었다. 이에 대하여, 중앙 승강 핀의 선단의 높이 위치가 유리 기판 G의 이면으로부터 100㎛이고 본 발명의 범위 내인 테스트 4에서는, 핀 흔적의 평가는 「C∼D」로 양호하며, 에칭 단차에 대해서도 -1.3∼0.9㎚로 작은 값이었다. 이것으로부터 본 발명의 효과가 확인되었다.As shown in Table 1, in Tests 1 and 2 in which the height position of the tip of the center elevating pin is lower than the position of 130 µm from the rear surface of the glass substrate G, there are many "B" s that the pin trace can visually recognize from the surface, The etching depth of the portion directly above the lifting pins tended to be small, resulting in insufficient results. On the other hand, in test 3 in which the height position of the tip of the lifting pin 30 is 50 µm (almost the same height as the bottom of the convex portion 5a) smaller than 70 µm from the substrate back surface, the tendency of etching is reversed, and the lifting pin is immediately In the upper portion, the etching depth was increased, and evaluation "A" also existed about the traces of the pins, which was also insufficient. On the other hand, in the test 4 in which the height position of the front-end | tip of a center hoisting pin is 100 micrometers from the back surface of glass substrate G, and is in the range of this invention, evaluation of a pin trace is favorable as "C-D", and -1.3 also about an etching step It was a small value at -0.9 nm. From this, the effect of this invention was confirmed.

또, 본 발명은 상기 실시예에 한정되지 않고, 여러 가지의 변형이 가능하다.In addition, this invention is not limited to the said Example, A various deformation | transformation is possible.

예컨대, 상기 실시예에서는, 하부 전극에 고주파 전력을 인가하는 RIE 타입의 용량 결합형 평행 평판 플라즈마 에칭 장치에 있어서의 하부 전극으로서의 서셉터에 본 발명의 기판 탑재대를 적용한 예에 대하여 나타냈지만, 이것에 한하지 않고, 애싱, CVD 성막 등의 다른 플라즈마 처리 장치에 적용할 수 있고, 상부 전극에 고주파 전력을 공급하는 타입이더라도, 아울러 용량 결합형에 한하지 않고 유도 결합형이더라도 좋다.For example, in the above embodiment, the example in which the substrate mounting table of the present invention is applied to the susceptor as the lower electrode in the RIE type capacitively coupled parallel plate plasma etching apparatus for applying high frequency power to the lower electrode has been described. The present invention can be applied to other plasma processing apparatuses such as ashing, CVD film formation, and the like, and may be a type of supplying a high frequency power to the upper electrode, or may be an inductive coupling type, not just a capacitive coupling type.

또한, 상기 실시예에서는, 승강 핀(30)을 벨로우즈(44)를 통해 서셉터 본체(4a)와 도통하도록 하고, 이들이 동 전위로 되도록 했지만, 승강 핀(30)은 플로팅 상태이더라도 좋다.In the above embodiment, the lift pins 30 are connected to the susceptor body 4a via the bellows 44, and they are brought to the same potential, but the lift pins 30 may be in a floating state.

또한, 상기 실시예에서는 피처리 기판으로서 절연성의 FPD용 유리 기판 G를 이용한 예에 대하여 나타냈지만, 이것에 한하지 않고 다른 기판이더라도 좋다.In addition, in the said Example, although the example using the insulating glass substrate G for FPD as a to-be-processed substrate was shown, it is not limited to this, It may be another board | substrate.

본 발명에 의하면, 기판에 대하여 플라즈마 처리를 하는 플라즈마 처리 장치의 기판 탑재대에 구비된 복수의 승강 핀을, 적어도 그 선단부가 도전성이며, 플라즈마 처리 시에 상기 탑재대 본체 내로 후퇴하는 후퇴 위치에 있을 때에, 그 선단 의 높이 위치가, 기판의 이면으로부터 70∼130㎛ 아래쪽으로 되도록 조정하므로, 승강 핀의 구멍에 대응하는 부분의 시스 영역에 전자계의 불균일을 해소할 수 있어, 균일한 플라즈마 처리를 할 수 있다.According to the present invention, the plurality of lifting pins provided on the substrate mounting table of the plasma processing apparatus for performing plasma processing on the substrate may be at a retracted position in which at least the tip thereof is conductive and retracts into the mounting body when the plasma processing is performed. At this time, the height position of the tip is adjusted so as to be 70 to 130 µm downward from the back surface of the substrate, so that the non-uniformity of the electromagnetic field can be eliminated in the sheath region of the portion corresponding to the hole of the lifting pin, and uniform plasma treatment can be performed. Can be.

또한, 플라즈마 처리 시에 처리의 불균일이 문제로 되는 것은, 기판의 중앙부이기 때문에, 제 2 관점과 같이, 기판의 가장자리부를 지지하는 복수의 제 1 승강 핀과, 기판의 중앙부를 지지하는 1 이상의 제 2 승강 핀으로 나누어, 제 2 승강 핀에 대하여 상기한 바와 같은 높이 조정을 행하면, 균일한 플라즈마 처리를 할 수 있어, 높이를 조정하는 승강 핀의 수를 적게 하여 조정 작업의 노동력을 경감시킬 수 있다.In addition, since the processing nonuniformity becomes a problem at the time of plasma processing, since it is a center part of a board | substrate, like 1st viewpoint, the several 1st lifting pin which supports the edge part of a board | substrate, and the 1 or more agent which supports the center part of a board | substrate By dividing into two lifting pins and performing the height adjustment as described above with respect to the second lifting pin, a uniform plasma treatment can be performed, and the labor force of the adjustment work can be reduced by reducing the number of lifting pins for adjusting the height. .

Claims (12)

기판에 대하여 플라즈마 처리를 행하는 플라즈마 처리 장치의 처리 용기 내에 기판을 탑재하는 기판 탑재대로서,A substrate mounting table for mounting a substrate in a processing vessel of a plasma processing apparatus that performs a plasma treatment on a substrate, 탑재대 본체와,With the mount body, 상기 탑재대 본체에 대하여 연직으로 삽입 관통되고, 상기 탑재대 본체의 표면에 대하여 돌몰(突沒; 튀어나오고 들어감)하도록 승강 자유롭게 마련되며, 그 선단으로 기판을 지지하여 승강시키는 복수의 승강 핀A plurality of lifting pins which are vertically penetrated with respect to the mounting base body, and are provided freely to lift and fall with respect to the surface of the mounting base body, and support and elevate the substrate at the leading end thereof. 을 구비하고,And 상기 승강 핀은, 적어도 그 선단부가 도전성이고, 또한, 플라즈마 처리 시에 상기 탑재대 본체 내로 후퇴하는 후퇴 위치와, 상기 탑재대 본체로부터 돌출하여 기판을 지지하는 지지 위치를 취하는 것이 가능하며, 상기 후퇴 위치에 있을 때에, 그 선단의 높이 위치가, 기판의 이면으로부터 70∼130㎛ 아래쪽으로 되도록 조정되어 있는 것을 특징으로 하는 기판 탑재대.At least the tip end portion is conductive, and the lifting pin can take a retracted position that retracts into the mount body during the plasma treatment, and a support position that protrudes from the mount body to support the substrate. When in position, the height position of the front-end | tip is adjusted so that it may become 70-130 micrometers downward from the back surface of a board | substrate. 제 1 항에 있어서,The method of claim 1, 상기 승강 핀은 상기 탑재대 본체와 동 전위인 것을 특징으로 하는 기판 탑재대.And the lift pins have the same potential as the mount body. 기판에 대하여 플라즈마 처리를 행하는 플라즈마 처리 장치의 처리 용기 내에 기판을 탑재하고, 또한 하부 전극으로서 기능하는 기판 탑재대로서,As a substrate mounting table which mounts a board | substrate in the processing container of the plasma processing apparatus which performs a plasma process with respect to a board | substrate, and functions as a lower electrode, 탑재대 본체와,With the mount body, 상기 탑재대 본체에 대하여 연직으로 삽입 관통되고, 상기 탑재대 본체의 표면에 대하여 돌몰하도록 승강 자유롭게 마련되며, 그 선단으로 기판을 지지하여 승강시키는 복수의 승강 핀A plurality of lifting pins which are vertically penetrated with respect to the mounting base body and are freely provided so as to rush with respect to the surface of the mounting base body, and support and elevate the substrate at its tip. 을 구비하고,And 상기 승강 핀은, 플라즈마 처리 시에 상기 탑재대 본체 내로 후퇴하는 후퇴 위치와, 상기 탑재대 본체로부터 돌출하여 기판을 지지하는 지지 위치를 취하는 것이 가능하고, 또한,기판의 가장자리부를 지지하는 복수의 제 1 승강 핀과, 기판의 중심부를 지지하는 하나 이상의 제 2 승강 핀을 갖고,The lifting pins can take a retracted position that retracts into the mount body during the plasma treatment, and a support position that protrudes from the mount body to support the substrate. 1 lift pin and at least one second lift pin supporting a central portion of the substrate, 상기 제 2 승강 핀은, 적어도 그 선단부가 도전성이며, 상기 후퇴 위치에 있을 때에, 그 선단의 높이 위치가, 기판의 이면으로부터 70~130㎛ 아래쪽으로 되도록 조정되어 있는At least the tip portion of the second lifting pin is conductive, and when the tip portion is in the retracted position, the height position of the tip is adjusted to be 70 to 130 µm downward from the back surface of the substrate. 것을 특징으로 하는 기판 탑재대.A substrate mounting stand, characterized in that. 제 3 항에 있어서,The method of claim 3, wherein 상기 제 2 승강 핀은 상기 탑재대 본체와 동 전위인 것을 특징으로 하는 기 판 탑재대.And said second elevating pin has the same potential as said mounting body. 제 3 항 또는 제 4 항에 있어서,The method according to claim 3 or 4, 상기 제 1 승강 핀과 상기 제 2 승강 핀의 승강을 독립적으로 제어하는 제어부를 더 구비하는 것을 특징으로 하는 기판 탑재대.And a controller for independently controlling lifting of the first lifting pin and the second lifting pin. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 탑재되는 기판이 절연성 기판인 것을 특징으로 하는 기판 탑재대.A substrate mounting table, wherein the substrate to be mounted is an insulating substrate. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 승강 핀의 높이 위치를 조정하는 높이 위치 조정 기구를 더 구비하는 것을 특징으로 하는 기판 탑재대.And a height position adjusting mechanism for adjusting the height position of the lifting pins. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 탑재대 본체는, 그 표면에 절연체로 이루어지는 복수의 볼록부를 갖고, 기판은 이 볼록부 상에 탑재되는 것을 특징으로 하는 기판 탑재대.The said mounting base main body has a some convex part which consists of an insulator on the surface, and a board | substrate is mounted on this convex part. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 탑재대 본체에는, 플라즈마 생성을 위한 고주파 전력이 공급되는 것을 특징으로 하는 기판 탑재대.And the high frequency power for plasma generation is supplied to the main body of the mounting table. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 탑재대 본체는, 상기 처리 용기의 저벽과의 사이에 공간이 형성되도록 절연 부재로 이루어지는 스페이서를 사이에 두고 처리 용기 내에 배치되고,The mounting table main body is disposed in the processing container with a spacer made of an insulating member interposed so that a space is formed between the bottom wall of the processing container, 상기 공간은, 대기 분위기로 되며,The space becomes an atmospheric atmosphere, 상기 탑재대 본체는, 상기 공간을 관통하는 볼트에 의해 상기 처리 용기의 저벽에 고정되어 있는The mounting table main body is fixed to the bottom wall of the processing container by a bolt passing through the space. 것을 특징으로 하는 기판 탑재대.A substrate mounting stand, characterized in that. 기판에 대하여 플라즈마 처리를 실시하는 플라즈마 처리 장치로서,A plasma processing apparatus for performing plasma processing on a substrate, 기판을 수용하는 처리 용기와,A processing container accommodating a substrate, 상기 처리 용기 내에 마련되고, 기판이 탑재되는 기판 탑재대와,A substrate mounting table provided in the processing container and on which a substrate is mounted; 상기 처리 용기 내에 처리 가스를 공급하는 처리 가스 공급 기구와,A processing gas supply mechanism for supplying a processing gas into the processing container; 상기 처리 용기 내를 배기하는 배기 기구와,An exhaust mechanism for exhausting the inside of the processing container; 상기 처리실 내에 처리 가스의 플라즈마를 생성하는 플라즈마 생성 기구A plasma generating mechanism for generating a plasma of a processing gas in the processing chamber; 를 구비하되,Provided with 상기 기판 탑재대는 청구항 1 내지 청구항 4 중 어느 한 항의 구성을 갖는 것을 특징으로 하는 기판 처리 장치.The substrate mounting apparatus has a structure according to any one of claims 1 to 4. 제 11 항에 있어서,The method of claim 11, 상기 플라즈마 생성 기구는, 하부 전극으로서 기능하는 상기 기판 탑재대와, 기판 탑재대에 대향하여 마련된 상부 전극과, 기판 탑재대에 고주파 전력을 인가하는 고주파 전원을 갖는 것을 특징으로 하는 기판 처리 장치.The plasma generating mechanism includes the substrate mounting table that functions as a lower electrode, an upper electrode provided to face the substrate mounting table, and a high frequency power supply for applying high frequency power to the substrate mounting table.
KR1020070028843A 2006-03-31 2007-03-23 Substrate loading stage and plasma processing apparatus KR100887459B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00096799 2006-03-31
JP2006096799A JP4597894B2 (en) 2006-03-31 2006-03-31 Substrate mounting table and substrate processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020080113188A Division KR100952525B1 (en) 2006-03-31 2008-11-14 Substrate loading stage and plasma processing apparatus

Publications (2)

Publication Number Publication Date
KR20070098556A true KR20070098556A (en) 2007-10-05
KR100887459B1 KR100887459B1 (en) 2009-03-10

Family

ID=38676181

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070028843A KR100887459B1 (en) 2006-03-31 2007-03-23 Substrate loading stage and plasma processing apparatus
KR1020080113188A KR100952525B1 (en) 2006-03-31 2008-11-14 Substrate loading stage and plasma processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020080113188A KR100952525B1 (en) 2006-03-31 2008-11-14 Substrate loading stage and plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP4597894B2 (en)
KR (2) KR100887459B1 (en)
CN (2) CN101707186B (en)
TW (1) TWI427733B (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150114227A (en) * 2014-04-01 2015-10-12 주식회사 원익아이피에스 Substrate processing apparatus
KR20180001495A (en) * 2016-06-27 2018-01-04 도쿄엘렉트론가부시키가이샤 Substrate lifting mechanism, substrate mounting table, and substrate processing apparatus
WO2019060030A1 (en) * 2017-09-20 2019-03-28 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5302541B2 (en) * 2008-01-09 2013-10-02 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP5745394B2 (en) * 2008-03-20 2015-07-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Substrate support, plasma reactor, and method of forming a susceptor
JP2010084164A (en) * 2008-09-29 2010-04-15 Epson Toyocom Corp Plasma treatment apparatus
JP5356769B2 (en) * 2008-10-15 2013-12-04 東京エレクトロン株式会社 Mounting table
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103227086B (en) * 2012-01-31 2015-09-30 中微半导体设备(上海)有限公司 A kind of slide holder for plasma processing apparatus
JP5994090B2 (en) * 2012-02-29 2016-09-21 株式会社ブイ・テクノロジー Laser processing equipment
KR101395288B1 (en) * 2012-05-21 2014-05-15 주성엔지니어링(주) Deposition apparatus for thin film and deposition method using the same
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6369054B2 (en) * 2014-03-03 2018-08-08 東京エレクトロン株式会社 Substrate placing apparatus and substrate processing apparatus
KR101594928B1 (en) * 2014-03-06 2016-02-17 피에스케이 주식회사 Apparatus and method for treating a substrate
CN105470180A (en) * 2014-09-05 2016-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 Wafer lifting assembly, and mechanical arm used for placing wafer on or taking wafer from wafer lifting assembly
CN104238158B (en) * 2014-09-23 2017-02-08 深圳市华星光电技术有限公司 Lifting device and lifting system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWM539571U (en) * 2015-07-27 2017-04-11 應用材料股份有限公司 Substrate lift pin actuator
JP6817745B2 (en) * 2015-09-01 2021-01-20 東京エレクトロン株式会社 Substrate processing device, lift pin height position detection method, lift pin height position adjustment method, and lift pin abnormality detection method
CN106486411B (en) * 2015-09-01 2019-06-11 东京毅力科创株式会社 Substrate board treatment, the position detection of lifter pin, adjusting and method for detecting abnormality
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107305858B (en) * 2016-04-20 2020-11-10 北京北方华创微电子装备有限公司 Thimble mechanism and precleaning cavity
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6651994B2 (en) * 2016-06-17 2020-02-19 東京エレクトロン株式会社 Substrate processing apparatus, maintenance jig, maintenance method for substrate processing apparatus, and storage medium
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107799453B (en) * 2016-09-07 2020-02-14 北京北方华创微电子装备有限公司 Electrostatic chuck and semiconductor processing device
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6797063B2 (en) * 2017-04-14 2020-12-09 東京エレクトロン株式会社 Pin control method and substrate processing equipment
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
SG11201908445PA (en) * 2017-10-17 2020-05-28 Ulvac Inc Object processing apparatus
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN108231520B (en) * 2017-12-29 2020-02-14 信利(惠州)智能显示有限公司 Substrate dry etching device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP6846384B2 (en) * 2018-06-12 2021-03-24 東京エレクトロン株式会社 Method of controlling high frequency power supply of plasma processing equipment and plasma processing equipment
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018006903A1 (en) * 2018-08-30 2020-03-05 Vat Holding Ag Galvanically isolated pin lifting device
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
DE102018007307A1 (en) * 2018-09-17 2020-03-19 Vat Holding Ag Pen lifter
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
JP2020167288A (en) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 Plasma processing apparatus and maintenance method of the same
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7278175B2 (en) * 2019-08-23 2023-05-19 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS, MANUFACTURING METHOD AND MAINTENANCE METHOD FOR SUBSTRATE PROCESSING APPARATUS
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210086748A (en) * 2019-12-30 2021-07-09 세메스 주식회사 Method for lifting substrate and apparatus for treating substrate
JP2021111783A (en) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
CN113518510B (en) * 2020-04-10 2022-10-11 南通深南电路有限公司 PCB glue removing device and method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR102582696B1 (en) * 2020-06-15 2023-09-26 세메스 주식회사 Apparatus for treating substrate, method for measuring height difference of lift pins and computer readable recording medium recoring program
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP2022113491A (en) 2021-01-25 2022-08-04 東京エレクトロン株式会社 Substrate mounting table and substrate processing method
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114231943A (en) * 2021-12-13 2022-03-25 深圳优普莱等离子体技术有限公司 Two-stage lifting system and equipment for chemical vapor deposition
JP2023137547A (en) 2022-03-18 2023-09-29 東京エレクトロン株式会社 Substrate mounting table, substrate processing device, and substrate processing method
JP2023137546A (en) 2022-03-18 2023-09-29 東京エレクトロン株式会社 Substrate mounting table, substrate processing device, and substrate processing method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JPH0774234A (en) * 1993-06-28 1995-03-17 Tokyo Electron Ltd Electrode structure of electrostatic chuck, its assembly method, its assembly jig and treatment apparatus
JP3005461B2 (en) * 1995-11-24 2000-01-31 日本電気株式会社 Electrostatic chuck
JP4461507B2 (en) * 1999-06-03 2010-05-12 東京エレクトロン株式会社 Deposition equipment
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002246160A (en) * 2001-02-19 2002-08-30 Ibiden Co Ltd Hot plate unit
JP2002270681A (en) * 2001-03-07 2002-09-20 Anelva Corp Electrostatic attraction mechanism for processing substrate
JP4190422B2 (en) * 2002-01-24 2008-12-03 住友精密工業株式会社 Ozone treatment equipment
JP4251887B2 (en) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 Vacuum processing equipment
JP4354243B2 (en) * 2003-04-21 2009-10-28 東京エレクトロン株式会社 Elevating mechanism and processing apparatus for workpiece
JP2006049299A (en) * 2004-07-02 2006-02-16 Sekisui Chem Co Ltd Surface treatment device

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150114227A (en) * 2014-04-01 2015-10-12 주식회사 원익아이피에스 Substrate processing apparatus
KR20180001495A (en) * 2016-06-27 2018-01-04 도쿄엘렉트론가부시키가이샤 Substrate lifting mechanism, substrate mounting table, and substrate processing apparatus
CN107546171A (en) * 2016-06-27 2018-01-05 东京毅力科创株式会社 Substrate elevating mechanism, substrate-placing platform and substrate board treatment
WO2019060030A1 (en) * 2017-09-20 2019-03-28 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10937678B2 (en) 2017-09-20 2021-03-02 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
CN101707186B (en) 2012-02-29
CN101707186A (en) 2010-05-12
TW200805556A (en) 2008-01-16
TWI427733B (en) 2014-02-21
CN100587938C (en) 2010-02-03
KR100887459B1 (en) 2009-03-10
KR20080114647A (en) 2008-12-31
KR100952525B1 (en) 2010-04-12
JP4597894B2 (en) 2010-12-15
JP2007273685A (en) 2007-10-18
CN101047143A (en) 2007-10-03

Similar Documents

Publication Publication Date Title
KR100887459B1 (en) Substrate loading stage and plasma processing apparatus
US10699935B2 (en) Semiconductor manufacturing device and processing method
CN107546171B (en) Substrate lifting mechanism, substrate carrying table and substrate processing device
JP6149071B2 (en) Method for dechucking a substrate
JP4951536B2 (en) Substrate mounting table and substrate processing apparatus
KR100993441B1 (en) Substrate loading mechanism, substrate transfer method, substrate processing apparatus and computer readable storage medium
KR101035249B1 (en) Substrate mounting table and substrate processing apparatus
KR100854802B1 (en) Substrate table and substrate processing apparatus
US8568554B2 (en) Movable gas introduction structure and substrate processing apparatus having same
KR20190114788A (en) Plasma processing apparatus and method of transferring workpiece
KR100737713B1 (en) Apparatus for processing substrate using plasma
JP4860078B2 (en) Plasma processing apparatus and plasma processing method
JPH0878346A (en) Plasma film-formation apparatus
CN111952140A (en) Substrate mounting table and plasma processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 12