KR20070057165A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20070057165A
KR20070057165A KR1020077005179A KR20077005179A KR20070057165A KR 20070057165 A KR20070057165 A KR 20070057165A KR 1020077005179 A KR1020077005179 A KR 1020077005179A KR 20077005179 A KR20077005179 A KR 20077005179A KR 20070057165 A KR20070057165 A KR 20070057165A
Authority
KR
South Korea
Prior art keywords
plasma
high frequency
processing apparatus
pair
reactance
Prior art date
Application number
KR1020077005179A
Other languages
English (en)
Other versions
KR100955359B1 (ko
Inventor
도시지 아베
도시끼 다까하시
히로유끼 마쯔우라
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20070057165A publication Critical patent/KR20070057165A/ko
Application granted granted Critical
Publication of KR100955359B1 publication Critical patent/KR100955359B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치는 진공화 가능하게 이루어진 처리 용기(12)와, 상기 피처리체를 보유 지지하는 피처리체 보유 지지 수단(20)과, 고주파 전압을 발생하는 고주파 전원(58)과, 상기 처리 용기 내로 플라즈마화되는 플라즈마화 가스를 공급하는 플라즈마 가스 공급 수단(38)을 구비하고 있다. 상기 처리 용기 내에 플라즈마를 발생시키기 위해 상기 고주파 전원의 출력측에 배선(60)에 의해 모두 여기 전극 상태로 이루어진 쌍의 플라즈마 전극(56A, 56B)이 접속되어 있다. 또한 상기 배선의 도중에 고주파 정합 수단(72)이 마련되고, 또한 플라즈마 전극(56A, 56B)은 모두 접지되어 있지 않다. 이에 의해, 플라즈마 밀도를 높게 하고, 게다가 플라즈마 생성 효율을 향상시킨다.
고주파 전원, 플라즈마 전극, 고주파 정합 수단, 가스 분산 노즐, 웨이퍼 보트

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 반도체 웨이퍼 등의 피처리체에 대해 성막이나 에칭 등의 플라즈마 처리를 실시하기 위한 플라즈마 처리 장치에 관한 것이다.
일반적으로, 반도체 집적 회로를 제조하기 위해서는 실리콘 기판 등으로 이루어지는 반도체 웨이퍼에 대해 성막 처리, 에칭 처리, 산화 처리, 확산 처리, 개질 처리, 자연 산화막의 제거 처리 등의 각종 처리가 행해진다. 이들 처리를 종형의, 이른바 뱃치식(batch) 열처리 장치로 행하는 경우에는, 우선 반도체 웨이퍼를 복수매, 예를 들어 25매 정도 수용할 수 있는 카세트로부터 반도체 웨이퍼를 종형의 웨이퍼 보트로 이동 적재하여 이것에 다단으로 지지시킨다. 이 웨이퍼 보트는 예를 들어 웨이퍼 사이즈에도 관계가 있지만 30 내지 150매 정도의 웨이퍼를 적재할 수 있다. 이 웨이퍼 보트는 배기 가능한 처리 용기 내에 그 하방으로부터 반입(로드)된 후, 처리 용기 내부가 기밀하게 유지된다. 그리고, 처리 가스의 유량, 프로세스 압력, 프로세스 온도 등의 각종 프로세스 조건을 제어하면서 소정의 열처리가 실시된다.
그런데, 최근에 있어서는 반도체 집적 회로의 한층 고집적화 및 고미세화의 요구가 강하게 이루어지고 있어, 회로 소자 특성의 향상의 면으로부터 반도체 집적 회로의 제조 공정에 있어서의 열이력도 저감화하는 것이 요구되고 있다. 이와 같은 상황하에 있어서, 종형의 소위 뱃치식 종형의 처리 장치에 있어서도 웨이퍼를 그다지 고온에 노출시키지 않아도 목적으로 하는 처리가 가능하므로, 플라즈마를 이용한 처리 장치가 다양하게 제안되고 있다(예를 들어 특허문헌 1, 2, 3, 4, 5).
예를 들어 종래의 플라즈마 처리 장치에서는 진공화 가능하게 이루어진 원통체 형상의 처리 용기의 측벽의 외측에 용기 중심에 대해 대향시키도록 하여 예를 들어 1쌍의 전극을 설치하고 있고, 한쪽 전극에 플라즈마 발생용의 고주파 전원을 접속하고, 다른 쪽 전극을 접지하여 양 전극 사이에 고주파 전압을 인가하여 처리 용기 내의 전체에 플라즈마를 발생시키도록 되어 있다. 반도체 웨이퍼(W)는 처리 용기 내의 대략 중앙부에 다단으로 지지되고, 그 한쪽에 예를 들어 플라즈마 발생용 가스를 도입하는 가스 노즐을 배치하고, 상기 웨이퍼는 처리 용기의 외주에 이것을 둘러싸도록 하여 설치한 가열 히터에 의해 웨이퍼(W)는 소정의 온도로 가열 유지되면서 플라즈마 처리된다.
여기서 상기 고주파 전원을 포함하는 고주파 회로에 대해 설명한다. 도8은 고주파 전극을 포함하는 종래의 고주파 회로의 등가 회로를 도시하는 도면이다. 도8 중에 있어서, 2A, 2B는 처리 용기측에 설치되는 한 쌍의 플라즈마 전극이며, 양 플라즈마 전극(2A, 2B)은 배선(4)을 통해 예를 들어 13.56 ㎒의 고주파 전원(6)에 접속되어 있다. 그리고, 상기 플라즈마 전극(2A, 2B) 사이에 고주파를 인가함으로써, 진공 상태로 이루어진 양 플라즈마 전극(2A, 2B) 사이에 플라즈마(P)가 발생하게 된다. 여기서 플라즈마(P)는 고주파 전원(6)에 대해 부하로서 작용하고, 콘덴서(C)와 코일(L)과 저항(R)의 직렬 회로로서 등가적으로 나타난다. 그리고, 상기 2개의 플라즈마 전극 중 어느 한쪽, 도시예에서는 하측의 플라즈마 전극(2B)이 접지되어 있다.
또한 상기 배선(4)의 도중에는 플라즈마(P)로 이루어지는 부하에 대해, 이 부하로부터의 반사파를 상쇄하는 임피던스의 정합(매칭)을 행하는 고주파 정합 회로(8)가 설치되어 있어, 플라즈마의 발생 효율을 높이도록 되어 있다. 이 고주파 정합 회로(8)와, 한쪽 플라즈마 전극(2A)에 대해 직렬로 접속되는 제1 가변 콘덴서(C1) 및 제1 코일(L1)과, 고주파 전원(6)측에 있어서 상기 플라즈마(P)의 부하에 병렬로 접속되는 제2 가변 콘덴서(C2)로 이루어진다. 또, 상기 접속 상태의 고주파 정합 회로(8)는 역L형 정합 회로라 불리운다.
상기 고주파 정합 회로(8)에 있어서, 플라즈마(P)의 부하측 반사파를 상쇄하도록 제1 및 제2 가변 콘덴서(C1, C2)를 자동적으로 조정함으로써 임피던스 정합이 행해진다. 또한 고주파 정합 회로(8)의 다른 구성으로서는, 예를 들어 도9의 (A)에 도시한 바와 같이 플라즈마(P)의 부하에 대해 각각 직렬 접속되는 제1 및 제2 가변 콘덴서(C1, C2)와, 이 2개의 가변 콘덴서(C1, C2)의 접속점과 다른 쪽 배선(4)을 접속하여 플라즈마(P)의 부하에 대해 병렬로 접속된 제1 가변 코일(L2)로 구성해도 좋고, 혹은 도9의 (B)에 도시한 바와 같이 플라즈마(P)의 부하에 대해 각각 직렬 접속되는 제1 및 제2 가변 코일(L2, L3)과, 이 2개의 가변 코일(L2, L3)의 접속점과, 다른 쪽 배선(4)을 접속하여 플라즈마(P)의 부하에 대해 병렬로 접속된 콘덴서(C3)로 구성해도 좋다. 또한, 도9에 도시한 바와 같은 회로 구성을 T형 정 합 회로라 부른다.
특허문헌 1 : 일본 특허 공개 평3-224222호 공보
특허문헌 2 : 일본 특허 공개 평5-251391호 공보
특허문헌 3 : 일본 특허 공개 제2002-280378호 공보
특허문헌 4 : 일본 특허 공개 제2001-44780호 공보
특허문헌 5 : 일본 특허 공개 제2003-264100호 공보
그런데, 상기한 바와 같은 고주파 정합 회로(8)에 있어서, 양 플라즈마 전극(2A, 2B) 사이에 인가되는 전압(Vab)은 한쪽 플라즈마 전극(2B)이 접지되어 있으므로, 도10에 도시한 바와 같이 정현파가 된다.
이와 같은 고주파 회로에서는, 높은 플라즈마의 생성 효율이 요구되지만, 그러나 상술한 바와 같은 고주파 회로에서는 발생하는 플라즈마 밀도가 그다지 높지 않아 플라즈마 생성 효율이 충분히 높아 지지 않는 등의 문제가 있었다.
본 발명은 이상과 같은 문제점에 착안하여, 이것을 유효하게 해결하기 위해 창안된 것이다. 본 발명의 목적은 플라즈마 밀도를 높게 하여, 그와 같이 플라즈마 생성 효율을 향상시킬 수 있는 플라즈마 처리 장치를 제공하는 것에 있다.
본 발명은 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서, 진공화 가능하게 이루어진 처리 용기와, 상기 피처리체를 보유 지지하는 피처리체 보유 지지 수단과, 고주파 전압을 발생하는 고주파 전원과, 상기 처리 용기 내로 플라즈마화되는 플라즈마화 가스를 공급하는 플라즈마 가스 공급 수단과, 상기 처리 용기 내에 플라즈마를 발생시키기 위해 상기 고주파 전원의 출력측에 배선에 의해 접속되고 모두 여기 전극 상태로 이루어진 한 쌍의 플라즈마 전극과, 상기 배선의 도중에 개재 설치되어 임피던스를 갖는 고주파 정합 수단을 구비하고, 각 플라즈마 전극은 접지되어 있지 않은 것을 특징으로 하는 플라즈마 처리 장치이다.
이와 같이, 처리 용기에 설치한 쌍의 플라즈마 전극을 모두 접지시키지 않고 고주파 전원의 출력측에 배선에 의해 접속하여 여기 전극 상태(핫 상태)가 되도록 하였으므로, 임피던스를 적절하게 조정함으로써 양 플라즈마 전극에 인가되는 전압을 크게 하고, 이로써 플라즈마 밀도를 높게 하여, 더욱 플라즈마 생성 효율을 향상시킬 수 있다.
본 발명은, 상기 고주파 정합 수단은 상기 플라즈마 전극 사이의 플라즈마의 부하에 대해 병렬로 접속되는 동시에 유도성과 용량성 중 어느 한쪽의 특성을 갖는 하나 이상의 제1 리액턴스와, 상기 각 플라즈마 전극에 대해 각각 직렬로 접속되는 동시에 상기 제1 리액턴스와는 다른 특성을 갖는 복수의 제2 리액턴스를 포함하는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 상기 제1 및 제2 각 리액턴스 중 적어도 한쪽의 리액턴스는 가변으로 이루어져 있는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 배선 중이며, 고주파 정합 수단과 고주파 전원 사이에 플라즈마 전극측으로부터의 반사파를 검출하는 검출기가 설치되고, 검출기로부터의 신호를 기초로 하여 고주파 정합 수단의 임피던스를 제어부에 의해 제어하는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 제어부는 플라즈마 전극측으로부터의 반사파가 제로가 되도록 고주파 정합 수단의 임피던스를 제어하는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 제1 리액턴스는 용량이 가변인 한 쌍의 콘덴서로 이루어지고, 제2 리액턴스는 한 쌍의 코일로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 제1 리액턴스는 용량이 가변인 한 쌍의 코일로 이루어지고, 제2 리액턴스는 한 쌍의 콘덴서로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 제1 리액턴스는 용량이 가변인 단일의 콘덴서로 이루어지고, 제2 리액턴스는 한 쌍의 코일로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 단일의 콘덴서는 배선 중 한 쌍의 코일보다도 플라즈마 전극측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 단일의 콘덴서는 배선 중 한 쌍의 코일보다도 플라즈마 전극과 반대측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 제1 리액턴스는 용량이 가변인 단일의 코일로 이루어지고, 제2 리액턴스는 한 쌍의 콘덴서로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 단일의 코일은 배선 중 한 쌍의 콘덴서보다도 플라즈마 전극측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 단일의 코일은 배선 중 한 쌍의 콘덴서보다도 플라즈마 전극과 반대측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 상기 처리 용기는 상기 피처리체를 복수매 수용할 수 있는 종형의 처리 용기인 것을 특징으로 하는 플라즈마 처리 장치이다.
본 발명은, 상기 처리 용기는 상기 피처리체를 1매 수용할 수 있는 매엽식의 처리 용기인 것을 특징으로 하는 플라즈마 처리 장치이다.
이상 설명한 바와 같이, 본 발명의 플라즈마 처리 장치에 따르면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
처리 용기에 설치한 한 쌍의 플라즈마 전극을 모두 접지시키지 않고 고주파 전원의 출력측에 배선에 의해 접속하여 여기 전극 상태(핫 상태)가 되도록 하였으므로, 임피던스를 적절하게 조정함으로써 양 플라즈마 전극에 인가되는 전압을 크게 하고, 이로써 플라즈마 밀도를 높게 하여, 더욱 플라즈마 생성 효율을 향상시킬 수 있다.
도1은 본 발명의 이러한 플라즈마 처리 장치의 일례를 나타내는 종단면 구성도이다.
도2는 플라즈마 처리 장치를 나타내는 횡단면 구성도이다.
도3은 고주파 전원이 접속된 고주파 회로의 등가 회로를 나타내는 도면이다.
도4의 (A) 내지 도4의 (C)는 플라즈마 부하의 양단부의 전위와 그 합성 전위를 나타내는 그래프이다.
도5는 종래 장치와 본 발명 장치의 플라즈마 밀도의 분포를 나타내는 그래프이다.
도6의 (A) 내지 (C)는 전압 파형의 위상차가 변화되는 상태를 나타내는 도면이다.
도7의 (A) 내지 (E)는 고주파 정합 회로의 변형예를 도시하는 회로도이다.
도8은 고주파 전극을 포함하는 종래의 고주파 회로의 등가 회로를 나타내는 도면이다.
도9의 (A), 도9의 (B)는 종래의 고주파 정합 회로의 다른 구성을 도시하는 도면이다.
도10은 종래의 고주파 정합 회로에 의해 양 플라즈마 전극에 인가되는 전압 파형을 나타내는 도면이다.
이하에, 본 발명에 관한 플라즈마 처리 장치의 일례를 첨부 도면을 기초로 하여 상세하게 서술한다.
도1은 본 발명의 이러한 플라즈마 처리 장치의 일례를 나타내는 종단면 구성도, 도2는 플라즈마 처리 장치(가열 수단은 생략)를 도시하는 횡단면 구성도, 도3은 고주파 전원이 접속된 고주파 회로의 등가 회로를 나타내는 도면이다. 또한, 여기서는 플라즈마화 가스로서 암모니아 가스를 이용하고, 성막 가스로서 헥사클로 로디실란(이하「HCD」라고도 함) 가스를 이용하고, 플라즈마 CVD에 의해 실리콘 질화막(SiN)을 성막하는 경우를 예로 들어 설명한다.
도시한 바와 같이, 이 플라즈마 처리 장치(10)는 하단부가 개방된 천장이 있는 원통체 형상의 처리 용기(12)를 갖고 있다. 이 처리 용기(12)의 전체는 예를 들어 석영에 의해 형성되어 있고, 이 처리 용기(12) 내의 천장에는 석영제의 천장판(14)이 설치되어 밀봉되어 있다. 또한, 이 처리 용기(12)의 하단부 개구부에는 예를 들어 스테인레스 스틸에 의해 원통체 형상으로 성형된 매니폴드(16)가 O링 등의 밀봉 부재(18)를 통해 연결되어 있다.
상기 처리 용기(12)의 하단부는 상기 매니폴드(16)에 의해 지지되어 있고, 이 매니폴드(16)의 하방으로부터 다수매의 피처리체로서의 반도체 웨이퍼(W)를 다단으로 적재한 피처리체 보유 지지 수단으로서의 석영제의 웨이퍼 보트(20)가 승강 가능하게 삽입 분리 가능하게 이루어져 있다. 본 실시예의 경우에 있어서, 이 웨이퍼 보트(20)의 지지 기둥(20A)에는, 예를 들어 30매 정도의 직경이 300 ㎜인 웨이퍼(W)를 대략 등피치로 다단으로 지지할 수 있도록 되어 있다.
이 웨이퍼 보트(20)는 석영제의 보온통(22)을 통해 테이블(24) 상에 적재되어 있고, 이 테이블(24)은 매니폴드(16)의 하단부 개구부를 개폐하는 예를 들어 스테인레스 스틸제의 덮개부(26)를 관통하는 회전축(28) 상에 지지된다.
그리고, 이 회전축(28)의 관통부에는, 예를 들어 자성 유체 밀봉부(30)가 개재 설치되어, 이 회전축(28)을 기밀하게 밀봉하면서 회전 가능하게 지지하고 있다. 또한, 덮개부(26)의 주변부와 매니폴드(16)의 하단부에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(32)가 개재 설치되어 있어 용기 내의 밀봉성을 유지하고 있다.
상기한 회전축(28)은 예를 들어 보트 엘리베이터 등의 승강 기구(34)에 지지된 아암(36)의 선단부에 설치되어 있고, 웨이퍼 보트(20) 및 덮개부(26) 등을 일체적으로 승강시켜 처리 용기(12) 내로 삽입 분리할 수 있도록 이루어져 있다. 또한, 상기 테이블(24)을 상기 덮개부(26)측에 고정하여 설치하여, 웨이퍼 보트(20)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다.
이 매니폴드(16)에는 처리 용기(12) 내측으로 플라즈마화되는 플라즈마화 가스, 예를 들어 암모니아(NH3) 가스를 공급하는 플라즈마 가스 공급 수단(38)과, 성막 가스, 예를 들어 실란계 가스로서 HCD 가스를 공급하는 성막 가스 공급 수단(40)이 마련된다. 구체적으로는, 상기 플라즈마 가스 공급 수단(38)은 상기 매니폴드(16)의 측벽을 내측으로 관통하여 상부 방향으로 굴곡되어 연장되는 석영관으로 이루어지는 플라즈마 가스 분산 노즐(42)을 갖고 있다. 이 플라즈마 가스 분산 노즐(42)에는 그 길이 방향을 따라 복수(다수)의 가스 분사 구멍(42A)이 소정의 간격을 사이에 두고 형성되어 있고, 각 가스 분사 구멍(42A)으로부터 수평 방향을 향해 대략 균일하게 암모니아 가스를 분사할 수 있도록 되어 있다.
또한 마찬가지로 상기 성막 가스 공급 수단(40)도 상기 매니폴드(16)의 측벽을 내측으로 관통하여 상부 방향으로 굴곡되어 연장되는 석영관으로 이루어지는 성막 가스 분산 노즐(44)을 갖고 있다. 여기서는 상기 성막 가스 분산 노즐(44)은 2 개 설치되어 있고(도2 참조), 각 성막 가스 분산 노즐(44)에는 그 길이 방향을 따라 복수(다수)의 가스 분사 구멍(44A)이 소정의 간격을 사이에 두고 형성되어 있고, 각 가스 분사 구멍(44A)으로부터 수평 방향을 향해 대략 균일하게 실란계 가스를 분사할 수 있도록 되어 있다.
그리고, 상기 처리 용기(12)의 측벽의 일부에는 그 높이 방향을 따라 플라즈마 발생부(48)가 형성되는 동시에, 이 플라즈마 발생부(48)에 대향하는 처리 용기(12)의 반대측에는 이 내부 분위기를 진공 배기하기 위해 처리 용기(12)의 측벽을, 예를 들어 상하 방향으로 깎아냄으로써 형성된 가늘고 긴 배기구(50)가 설치되어 있다.
구체적으로는, 상기 플라즈마 발생부(48)는 상기 처리 용기(12)의 측벽을 상하 방향을 따라 소정의 폭으로 깎아냄으로써 상하로 가늘고 긴 개구(52)를 형성하고, 이 개구(52)를 그 외측으로부터 씌우도록 하여 단면 오목부 형상으로 이루어진 상하로 가늘고 긴 예를 들어 석영제의 플라즈마 구획벽(54)을 용기 외벽에 기밀하게 용접 접합함으로써, 용기 내와 일체화하도록 형성되어 있다. 이에 의해, 이 처리 용기(12)의 측벽의 일부를 오목부 형상으로 외측으로 오목하게 함으로써 한쪽이 처리 용기(12) 내로 개방되어 연통된 플라즈마 발생부(48)가 형성되게 된다. 즉 플라즈마 발생부(48)의 내부 공간은 상기 처리 용기(12) 내에 일체로 연통된 상태로 되어 있다. 상기 개구(52)는 웨이퍼 보트(20)에 보유 지지되어 있는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다.
그리고, 상기 플라즈마 구획벽(54)의 양 측벽의 외측면에는, 그 길이 방향(상하 방향)을 따라 서로 대향하도록 하여 가늘고 긴 한 쌍의 플라즈마 전극(56A, 56B)이 설치되는 동시에, 이 플라즈마 전극(56A, 56B)에는 플라즈마 발생용 고주파 전원(58)이 배선(60)을 통해 접속되어 있고, 상기 플라즈마 전극(56A, 56B)에 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써 플라즈마를 발생할 수 있도록 되어 있다. 또한, 이 고주파 전압의 주파수는 13.56 ㎒에 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑ 등을 이용해도 좋다.
그리고, 상기 처리 용기(12) 내를 상부 방향으로 연장되어 가는 플라즈마 가스 분산 노즐(42)은 도중에 처리 용기(12)의 반경 방향 외측으로 굴곡되어 상기 플라즈마 발생부(48) 내의 가장 안쪽[처리 용기(12)의 중심으로부터 가장 이격된 부분]에 위치되고, 이 가장 안쪽 부분을 따라 상방을 향해 기립시켜 설치되어 있다. 따라서, 상기 플라즈마 가스 분산 노즐(42)의 가스 분사 구멍(42A)으로부터 분사된 암모니아 가스는 여기서 플라즈마에 의해 분해, 혹은 활성화되어 처리 용기(12)의 중심을 향해 확산되면서 흐르도록 되어 있다.
그리고 상기 플라즈마 구획벽(54)의 외측에는 이를 덮도록 하여 예를 들어 석영으로 이루어지는 절연 보호 커버(62)가 설치되어 있다. 이 절연 보호 커버(62)의 외측에는 이것을 피복하여 고주파의 누설을 방지하기 위해 실드(64)가 설치되어 있고, 이 실드(64)는 접지되어 있다.
그리고 상기 플라즈마 발생부(48)의 개구(52)의 외측 근방, 즉 개구(52)의 외측[처리 용기(12) 내]의 양측에는 상기 2개의 성막 가스 분산 노즐(44)이 기립되 어 설치되어 있고, 이것에 마련한 각 가스 분사 구멍(44A)으로부터 처리 용기(12)의 중심 방향을 향해 실란계 가스를 분사할 수 있도록 되어 있다.
한편, 상기 플라즈마 발생부(48)에 대향시켜 설치한 배기구(50)에는 이것을 덮도록 하여 석영으로 이루어지는 단면 역ㄷ자 형상으로 성형된 배기구 커버 부재(66)가 용접에 의해 설치되어 있다. 이 배기 커버 부재(66)는 상기 처리 용기(12)의 측벽을 따라 상방으로 연장되어 있고, 처리 용기(12)의 상방의 가스 출구(68)로부터 도시하지 않은 진공 펌프 등을 개재 설치한 진공 배기 시스템에 의해 진공화된다. 그리고, 이 처리 용기(12)의 외주를 둘러싸도록 하여 이 처리 용기(12) 및 이 내부의 웨이퍼(W)를 가열하는 통체 형상의 가열 수단(70)이 마련되어 있다.
여기서 상기 고주파 전원(58)과 양 플라즈마 전극(56A, 56B)을 접속하는 배선(60)의 도중에, 본 발명이 특징으로 하는 고주파 정합 수단(72)이 개재 설치되어 있다. 구체적으로는, 상기 양 플라즈마 전극(56A, 56B)은 상기 고주파 전원(58)의 출력측에 접지되지 않고 상기 배선(60)에 의해 접속되어 있고, 동시에 여기 전극 상태, 즉 핫 상태로 이루어져 있다. 그리고, 상기 고주파 정합 수단(72)은 배선(60)에 개재 설치된 고주파 정합 회로(74)와, 이 고주파 정합 회로(74)에 병렬로 접속되어 플라즈마 전극(56A, 56B)측으로부터의 반사파를 검출하는 검파기(76)와, 이 검파기(76)의 출력을 기초로 하여 상기 고주파 정합 회로(74)의 임피던스를 조정하여 제어하는, 예를 들어 마이크로 컴퓨터 등으로 이루어지는 제어부(78)에 의해 구성되어 있다. 이 때의 고주파 회로의 등가 회로는 도3에 도시되어 있다.
즉, 여기서는 양 플라즈마 전극(56A, 56B) 사이에서 발생하는 플라즈마(P)는 고주파 전원(58)에 대해 부하로서 작용하고, 이 플라즈마(P)는 콘덴서(C)와 코일(L)과 저항(R)의 직렬 회로로서 등가로 되어 있다. 그리고, 상기 고주파 정합 회로(74)는 상기 각 플라즈마 전극(56A, 56B)에 대해 각각 직렬 접속되어 있는 2개의코일(L1, L2)과, 상기 플라즈마(P)의 부하에 대해 병렬로 접속되어 있는 2개의 콘덴서(C1, C2)에 의해 구성되어 있다. 여기서 상기 코일(L1, L2)은 제1 리액턴스로서 유도성 리액턴스를 구성하고, 상기 콘덴서(C1, C2)는 제2 리액턴스로서 용량성 리액턴스를 구성한다. 이 경우, 상기 양 콘덴서(C1, C2)는 모두 가변으로 이루어져 있다. 그리고, 한쪽 콘덴서(C1)는 양 코일(L1, L2)보다도 플라즈마 전극(56A, 56B)에 가까운 측의 배선(60) 사이에 접속되고, 다른 쪽 콘덴서(C2)는 양 코일(L1, L2)보다도 플라즈마 전극(56A, 56B)에 대해 먼 측의 배선(60) 사이에 접속되어, 이른바 π형의 정합 회로로 되어 있다.
다음에, 이상과 같이 구성된 플라즈마 처리 장치를 이용하여 행해지는 플라즈마 처리 방법에 대해 설명한다. 여기서는 플라즈마 처리로서, 웨이퍼 표면에 플라즈마 CVD에 의해 실리콘 질화막을 형성하는 경우를 예로 들어 설명한다.
우선, 상온의 복수매, 예를 들어 50매의 300 ㎜ 사이즈의 웨이퍼(W)가 적재된 상태의 웨이퍼 보트(20)를 미리 소정의 온도로 이루어진 처리 용기(12) 내에 그 하방으로부터 상승시켜 로드하고, 덮개부(26)로 매니폴드(16)의 하단부 개구부를 폐쇄함으로써 용기 내를 밀폐한다.
그리고 처리 용기(12) 내부를 진공화하여 소정의 프로세스 압력으로 유지하 는 동시에, 가열 수단(70)으로의 공급 전력을 증대시킴으로써 웨이퍼 온도를 상승시켜 프로세스 온도를 유지하고, 각종 처리 가스를 플라즈마 가스 공급 수단(38) 및 성막 가스 공급 수단(40)으로부터 각각 교대로 간헐적으로 공급하여 회전하고 있는 웨이퍼 보트(20)에 지지되어 있는 웨이퍼(W)의 표면에 실리콘 질화막을 형성한다.
구체적으로는, NH3 가스는 플라즈마 발생부(48) 내에 설치한 플라즈마 가스 분산 노즐(42)의 각 가스 분사 구멍(42A)으로부터 수평 방향으로 분사되고, 또한 성막 가스인 HCD 가스는 성막 가스 분산 노즐(44)의 각 가스 분사 구멍(44A)으로부터 수평 방향으로 분사되어, 양 가스가 반응하여 실리콘 질화막이 형성된다. 이 때, 고주파 전원(58)으로부터의 고주파 전압이 플라즈마 발생부(48)의 양 플라즈마 전극(56A, 56B) 사이에 인가되어 있다. 따라서, 상기 플라즈마 가스 분산 노즐(44)의 가스 분사 구멍(44A)으로부터 분출된 NH3 가스가 고주파 전압이 인가되어 있는 플라즈마 전극(56A, 56B) 사이에 유입되고, 여기서 플라즈마화되어 활성화하고, 예를 들어 N*, NH*, NH2*, NH3*(기호 *는 래디컬인 것을 나타냄) 등의 래디컬(활성종)을 발생시킨다. 이 래디컬은 플라즈마 발생부(48)의 개구(52)로부터 처리 용기(12) 내의 중심 방향을 향해 방출되어 확산되어 웨이퍼(W)의 상호간에 층류 상태로 흘러 가게 된다. 그리고, 상기 각 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 HCD 가스의 분자와 반응하여 상기한 바와 같이 실리콘 질화막을 형성하게 된다.
그런데, 이와 같은 성막 동작 중에 있어서, 플라즈마(P)로부터의 반사파는 도2 혹은 도3에 도시한 바와 같은 검파기(76)에 의해 검출되고, 이 반사파가 "제로"가 되도록 제어부(78)는 고주파 정합 회로(74)의 임피던스를 자동적으로 제어하여 매칭을 행하게 된다. 도3에 도시하는 경우에는, 콘덴서(C1, C2)의 값을 적절하게 조정하게 된다. 여기서는, 예를 들어 플라즈마(P)로 이루어지는 부하의 임피던스를 "a + jb"로 한 경우, 제어부(78)는 상기 고주파 정합 회로(74)와 플라즈마(P)의 부하가 "50 Ω"이 되도록 조정하게 된다.
상기한 바와 같이 임피던스 정합이 취해진 경우, 양 콘덴서(C1, C2)의 합성 임피던스를 "-j/(ωC)"라 하고, 양 코일(L1, L2)의 임피던스를 각각 "+jωL1" 및 "+jωL2"라 하면, 허수부가 제로가 되므로 이하의 식과 같이 된다.
ωL1 + ωL2 - 1/(ωC) = 0
따라서, 플라즈마(P) 중의 부하의 양단부의 전위, 즉 플라즈마 전극(56A)(점 a)과 플라즈마 전극(56B)(점 b)의 전위는 도4의 (A) 및 도4의 (B)에 도시한 바와 같이 위상은 180도 어긋나게 된다.
도4는 플라즈마 부하의 양단부의 전위와 그 합성 전위를 나타내는 그래프이다. 도4의 (A)는 한쪽 플라즈마 전극(56A)(점 a)의 전위(Va)를 나타내고, 도4의 (B)는 다른 쪽 플라즈마 전극(56B)(점 b)의 전위(Vb)를 나타낸다. 따라서, 전위 "제로"의 중립의 위치는 부하, 즉 플라즈마(P) 중의 절반 부분쯤에 위치함으로써, 여기가 가상의 그라운드(접지)가 된다. 이 결과, 양 플라즈마 전극(56A, 56B) 사이의 전위차(Vab)(= Va - Vb)는 도4의 (C)에 도시한 바와 같이 2배의 진폭으로 변화하게 된다.
이와 같이, 양 플라즈마 전극(56A, 56B)을 모두 접지하지 않고 여기 전극 상태(핫 상태)로 함으로써, 도8에 나타내는 종래의 고주파 회로와 같이 양 전극 중 어느 한쪽을 접지한 경우와 비교하여 2배의 전압을 플라즈마(P)에 인가할 수 있다. 이 결과, 플라즈마 밀도를 높게 할 수 있을 뿐만 아니라, 플라즈마의 생성 효율도 향상시키는 것이 가능해진다.
또한, 상술한 바와 같이 양 플라즈마 전극(56A, 56B)을 모두 접지하지 않고 여기 전극 상태(핫 상태)로 함으로써 전극 면적을 늘릴 수 있고, 그 만큼 단위 면적당의 전력 파워(전압)를 억제할 수 있다. 이와 같이, 단위 면적당의 전력 파워를 억제하여 전위차를 작게 하는 것은, 플라즈마(P) 중의 이온에 의한 플라즈마 전극(56A, 56B)이나 처리 용기(12)[플라즈마 구획벽(54)]에 대한 손상을 억제하는 것이 가능해진다.
여기서, 플라즈마 전극간 중 플라즈마 밀도를 시뮬레이션에 의해 측정하였으므로, 그 측정 결과를 도5에 나타낸다. 도5에 있어서는, 곡선 A는 종래 장치의 플라즈마 밀도의 분포를 나타내고, 곡선 B는 본 발명 장치의 플라즈마 밀도를 나타낸다. 도시한 바와 같이, 종래 장치의 곡선 A는 좌측의 전극, 즉 핫 상태의 전극의 근방에서 하나의 플라즈마 밀도의 피크를 나타내고 있는 데 지나지 않는다. 이에 대해, 본 발명 장치의 곡선 B는 양 전극의 근방에 있어서 각각 플라즈마 밀도의 피크를 나타내고 있고, 전체적으로 플라즈마 밀도를 향상시킬 수 있는 것을 확인할 수 있었다.
또한, 도4의 (A) 및 도4의 (B)에 도시한 바와 같이 도4의 (B)에 나타내는 전 압 파형은 도4의 (A)에 나타내는 전압 파형에 대해 위상이 180도 어긋나 있지만, 도1 중 가변으로 이루어진 콘덴서(C1, C2)를 적절하게 변화시킴으로써, 도4의 (B)에 나타내는 전압 파형의 위상차를 변화시킬 수 있다. 도6은 전압 파형의 위상차가 변화하는 상태를 나타내는 도면이다. 도6의 (A)는 도4의 (A)와 같은 전압 파형을 나타내고, 도6의 (B)는 도6의 (A)보다 위상이 90도 어긋났을 때의 전압 파형을 나타내고, 도6의 (C)는 도6의 (A)보다 위상이 180도 어긋났을 때의 전압 파형을 나타낸다.
이와 같이, 플라즈마 전극(56A, 56B) 사이의 전압 위상을 임의로 바꿀 수 있으므로, 플라즈마 밀도를 바꿀 수 있을 뿐만 아니라, 플라즈마의 시간 의존 안정성을 바꿀 수 있어, 프로세스에 대응한 최적의 플라즈마 밀도를 얻을 수 있다.
또한, 도1에 도시하는 장치예에서는, 양 콘덴서(C1, C2)를 모두 가변으로 하였지만, 이에 한정되지 않고, 콘덴서(C1, C2)로 이루어지는 2개의 용량성 리액턴스 및 코일(L1, L2)로 이루어지는 2개의 유도성 리액턴스 중 적어도 하나의 리액턴스를 가변으로 하도록 구성하면 된다.
또한, 고주파 정합 회로(74)의 구성은 도3에 나타낸 구성에 한정되지 않고, 예를 들어 도7에 도시한 바와 같이 구성해도 좋다. 도7은 고주파 정합 회로의 변형예를 나타내는 회로도이다. 도7의 (A)에 나타내는 회로는 도3의 (A)에 나타내는 회로에 있어서, 유도성 리액턴스인 코일(L1, L2)과 용량성 리액턴스인 콘덴서(C1, C2)와의 접속 관계를 역으로 한 것이며, 양 콘덴서(C1, C2)를 각각 부하로부터의 각 배선(60)에 대해 직렬로 접속하고, 이 콘덴서(C1, C2)를 사이에 두도록 하여 양 코일(L1, L2)을 부하에 대해 병렬로 접속하고 있다. 또한, 여기서는 양 코일(L1, L2)을 가변하도록 하고 있다.
도7의 (B)에 나타내는 회로는 도3에 나타내는 회로에 있어서 부하에 대해 병렬로 접속한 한쪽의 콘덴서이며 부하에 가까운 측의 콘덴서(C1)를 제거하여 개방으로 한 상태의 회로 구성이며, 이에 대해 도7의 (C)에 나타내는 회로는 도3 나타내는 회로에 있어서 부하에 대해 병렬로 접속한 다른 쪽의 콘덴서이며 부하에 먼 측의 콘덴서(C2)를 제거하여 개방으로 한 상태의 회로 구성이며, 모두 콘덴서(C2), 혹은 콘덴서(C1)를 가변으로 하고 있다.
도7의 (D)에 나타내는 회로는 도7의 (A)에 나타내는 회로에 있어서, 부하에 대해 병렬로 접속한 한쪽의 코일이며 부하에 가까운 측의 코일(L1)을 제거하여 개방으로 한 상태의 회로 구성이고, 이에 대해 도7의 (E)에 나타내는 회로는 도7의 (A)에 나타내는 회로에 있어서 부하에 대해 병렬로 접속한 다른 쪽의 콘덴서이며 부하에 먼 측의 코일(C2)을 제거하여 개방으로 한 상태의 회로 구성이고, 모두 코일(L2), 혹은 코일(L1)을 가변으로 하고 있다.
또한 여기서는 실리콘 질화막의 성막 처리를 예로 들어 설명하였지만, 다른 막종의 성막 처리라도 좋고, 또는 성막 처리에 한정되지 않고, 플라즈마 에칭 처리, 플라즈마 애싱 처리 등에 대해서도 본 발명을 적용할 수 있다.
또한, 여기서는 한번에 복수매의 반도체 웨이퍼를 처리할 수 있는 종형의 뱃치식 플라즈마 처리 장치를 예로 들어 설명하였지만, 이에 한정되지 않고, 반도체 웨이퍼를 1매씩 처리하는 매엽식 플라즈마 처리 장치에도 본 발명을 적용할 수 있 다. 이 경우에는, 예를 들어 반도체 웨이퍼를 적재하여 보유 지지하는 피처리체 보유 지지 수단인 서셉터와, 플라즈마 가스를 포함하는 처리 가스 등을 공급하는 샤워 헤드부가 양 플라즈마 전극으로서 구성되게 된다.
또한 피처리체로서는, 반도체 웨이퍼에 한정되지 않고, 글래스 기판이나 LCD 기판에도 본 발명을 적용할 수 있다.

Claims (15)

  1. 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    진공화 가능하게 이루어진 처리 용기와,
    상기 피처리체를 보유 지지하는 피처리체 보유 지지 수단과,
    고주파 전압을 발생하는 고주파 전원과,
    상기 처리 용기 내로 플라즈마화되는 플라즈마화 가스를 공급하는 플라즈마 가스 공급 수단과,
    상기 처리 용기 내에 플라즈마를 발생시키기 위해 상기 고주파 전원의 출력측에 배선에 의해 접속되고 모두 여기 전극 상태로 이루어진 한 쌍의 플라즈마 전극과,
    상기 배선의 도중에 개재 설치되어 임피던스를 갖는 고주파 정합 수단을 구비하고,
    각 플라즈마 전극은 접지되어 있지 않은 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 고주파 정합 수단은 상기 플라즈마 전극 사이의 플라즈마의 부하에 대해 병렬로 접속되는 동시에 유도성과 용량성 중 어느 한쪽의 특성을 갖는 하나 이상의 제1 리액턴스와, 상기 각 플라즈마 전극에 대해 각각 직렬로 접속되는 동시에 상기 제1 리액턴스와는 다른 특성을 갖는 복수의 제2 리액턴스를 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제2항에 있어서, 상기 제1 및 제2의 각 리액턴스 중 적어도 한쪽의 리액턴스는 가변으로 이루어져 있는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제1항에 있어서, 배선 중이며, 고주파 정합 수단과 고주파 전원 사이에, 플라즈마 전극측으로부터의 반사파를 검출하는 검출기가 설치되고,
    검출기로부터의 신호를 기초로 하여 고주파 정합 수단의 임피던스를 제어부에 의해 제어하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제4항에 있어서, 제어부는 플라즈마 전극측으로부터의 반사파가 제로가 되도록 고주파 정합 수단의 임피던스를 제어하는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제3항에 있어서, 제1 리액턴스는 용량이 가변인 한 쌍의 콘덴서로 이루어지고,
    제2 리액턴스는 한 쌍의 코일로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제3항에 있어서, 제1 리액턴스는 용량이 가변인 한 쌍의 코일로 이루어지고,
    제2 리액턴스는 한 쌍의 콘덴서로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제3항에 있어서, 제1 리액턴스는 용량이 가변인 단일의 콘덴서로 이루어지고,
    제2 리액턴스는 한 쌍의 코일로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제8항에 있어서, 단일의 콘덴서는 배선 중 한 쌍의 코일보다도 플라즈마 전극측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제8항에 있어서, 단일의 콘덴서는 배선 중 한 쌍의 코일보다도 플라즈마 전극과 반대측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  11. 제3항에 있어서, 제1 리액턴스는 용량이 가변인 단일의 코일로 이루어지고,
    제2 리액턴스는 한 쌍의 콘덴서로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치.
  12. 제11항에 있어서, 단일의 코일은 배선 중 한 쌍의 콘덴서보다도 플라즈마 전 극측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  13. 제11항에 있어서, 단일의 코일은 배선 중 한 쌍의 콘덴서보다도 플라즈마 전극과 반대측에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  14. 제1항에 있어서, 상기 처리 용기는 상기 피처리체를 복수매 수용할 수 있는 종형의 처리 용기인 것을 특징으로 하는 플라즈마 처리 장치.
  15. 제1항에 있어서, 상기 처리 용기는 상기 피처리체를 1매 수용할 수 있는 매엽식 처리 용기인 것을 특징으로 하는 플라즈마 처리 장치.
KR1020077005179A 2004-09-06 2005-08-30 플라즈마 처리 장치 KR100955359B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00257994 2004-09-06
JP2004257994A JP4344886B2 (ja) 2004-09-06 2004-09-06 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20070057165A true KR20070057165A (ko) 2007-06-04
KR100955359B1 KR100955359B1 (ko) 2010-04-30

Family

ID=36036258

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077005179A KR100955359B1 (ko) 2004-09-06 2005-08-30 플라즈마 처리 장치

Country Status (7)

Country Link
US (1) US8267041B2 (ko)
EP (1) EP1791172A4 (ko)
JP (1) JP4344886B2 (ko)
KR (1) KR100955359B1 (ko)
CN (1) CN100474526C (ko)
TW (1) TWI402911B (ko)
WO (1) WO2006027972A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101145538B1 (ko) * 2007-07-31 2012-05-15 도쿄엘렉트론가부시키가이샤 배치식 플라즈마 처리 장치
US8336490B2 (en) 2007-08-31 2012-12-25 Tokyo Electron Limited Plasma processing apparatus
US8608902B2 (en) 2009-01-23 2013-12-17 Tokyo Electron Limited Plasma processing apparatus

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
US8453600B2 (en) 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
GB0823565D0 (en) * 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102142388A (zh) * 2010-12-10 2011-08-03 北京七星华创电子股份有限公司 用于半导体热处理设备的立式晶舟支撑件
PL2857045T3 (pl) * 2012-05-28 2019-02-28 Saraya Co., Ltd. Urządzenie do sterylizacji i sposób sterylizacji przy jego użyciu
EP3322258A4 (en) * 2015-07-03 2019-03-27 Toyo Seikan Group Holdings, Ltd. HIGH FREQUENCY DIELECTRIC HEATING DEVICE
GB201513120D0 (en) * 2015-07-24 2015-09-09 C Tech Innovation Ltd Radio frequency heating system
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP7489894B2 (ja) 2020-10-20 2024-05-24 東京エレクトロン株式会社 プラズマ生成装置、プラズマ処理装置及びプラズマ処理方法
US11823867B2 (en) * 2021-05-20 2023-11-21 Kaufman & Robinson, Inc. Load current derived switch timing of switching resonant topology

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704219A (en) * 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
JPS60169139A (ja) * 1984-02-13 1985-09-02 Canon Inc 気相法装置
US4557819A (en) * 1984-07-20 1985-12-10 Varian Associates, Inc. System for igniting and controlling a wafer processing plasma
US4763087A (en) * 1986-05-27 1988-08-09 Schrader Paul D Impedance matching network
US4956582A (en) * 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH03224222A (ja) 1988-10-31 1991-10-03 Tokyo Electron Ltd 成膜方法
JPH02159027A (ja) * 1988-12-13 1990-06-19 Tel Sagami Ltd プラズマ処理装置
JPH0327120A (ja) 1989-06-20 1991-02-05 Tonen Corp 窒化珪素質無機繊維
DE3923661A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
JPH0327120U (ko) * 1989-07-26 1991-03-19
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
EP0456479B1 (en) * 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0531735A (ja) * 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
US5298939A (en) * 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) * 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
JPH05251391A (ja) 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JP2710467B2 (ja) * 1992-04-16 1998-02-10 アドバンスド エナージィ インダストリーズ,インコーポレイテッド プロセシング・プラズマのac特性を特徴付ける装置
US5339198A (en) * 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) * 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5387842A (en) * 1993-05-28 1995-02-07 The University Of Tennessee Research Corp. Steady-state, glow discharge plasma
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JPH077221A (ja) * 1993-06-18 1995-01-10 Furukawa Electric Co Ltd:The 半導体レーザ素子
US5407524A (en) * 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
EP0715334B1 (en) * 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5876663A (en) * 1995-11-14 1999-03-02 The University Of Tennessee Research Corporation Sterilization of liquids using plasma glow discharge
US7025831B1 (en) * 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
JP3501668B2 (ja) * 1997-12-10 2004-03-02 キヤノン株式会社 プラズマcvd方法及びプラズマcvd装置
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6239018B1 (en) * 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
JP2000299198A (ja) * 1999-02-10 2000-10-24 Tokyo Electron Ltd プラズマ処理装置
DE60030424D1 (de) * 1999-03-23 2006-10-12 Advanced Energy Ind Inc Gleichstromgespeistes rechnersystem mit einem hochfrequenzschaltnetzteil
JP2001104776A (ja) * 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
WO2000070666A1 (fr) * 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
JP2001016063A (ja) * 1999-06-30 2001-01-19 Aichi Electronic Co Ltd 高周波用分岐/分配器
US6376387B2 (en) * 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
EP1203441A1 (en) * 1999-07-13 2002-05-08 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP4652499B2 (ja) 1999-07-29 2011-03-16 株式会社ダイヘン インピーダンス自動整合方法及び整合装置
KR100338057B1 (ko) * 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
JP3911555B2 (ja) 2000-08-15 2007-05-09 独立行政法人産業技術総合研究所 シリコン系薄膜の製造法
JP3979849B2 (ja) 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
US6583572B2 (en) * 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
JP4682456B2 (ja) * 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
JP3574104B2 (ja) 2001-11-27 2004-10-06 三容真空工業株式会社 プラズマ発生のためのマッチング回路を利用したプラズマ発生駆動装置
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
JP4149243B2 (ja) 2001-11-30 2008-09-10 アルプス電気株式会社 プラズマ処理装置及びプラズマ処理装置の整合回路設計システム
JP4132016B2 (ja) * 2001-12-25 2008-08-13 松下電器産業株式会社 整合回路およびプラズマ処理装置
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
TWI239794B (en) * 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
WO2003071839A1 (en) * 2002-02-20 2003-08-28 Matsushita Electric Works, Ltd. Plasma processing device and plasma processing method
JP2003298378A (ja) * 2002-04-04 2003-10-17 Nihon Koshuha Co Ltd 自動整合装置
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
US6819052B2 (en) * 2002-05-31 2004-11-16 Nagano Japan Radio Co., Ltd. Coaxial type impedance matching device and impedance detecting method for plasma generation
US6774569B2 (en) * 2002-07-11 2004-08-10 Fuji Photo Film B.V. Apparatus for producing and sustaining a glow discharge plasma under atmospheric conditions
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7767056B2 (en) * 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
EP1592051A4 (en) * 2003-01-24 2012-02-22 Tokyo Electron Ltd CHEMICAL VAPOR DEPOSITION METHOD FOR FORMING SILICON NITRIDE FILM ON A SUBSTRATE
US20040173314A1 (en) * 2003-03-05 2004-09-09 Ryoji Nishio Plasma processing apparatus and method
TWI236055B (en) * 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
US7264676B2 (en) * 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
US7157857B2 (en) * 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US7326872B2 (en) * 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
JP4975291B2 (ja) * 2004-11-09 2012-07-11 株式会社ダイヘン インピーダンス整合装置
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
WO2006070809A1 (ja) * 2004-12-27 2006-07-06 Daihen Corporation 高周波電源装置
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US8129283B2 (en) * 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR100870121B1 (ko) * 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US8120259B2 (en) * 2007-04-19 2012-02-21 Plasmart Co., Ltd. Impedance matching methods and systems performing the same
JP2009049382A (ja) * 2007-07-26 2009-03-05 Panasonic Corp ドライエッチング方法およびドライエッチング装置
DE112008001663T5 (de) * 2007-08-21 2010-07-22 Panasonic Corp., Kadoma Plasmaverarbeitungsvorrichtung und Verfahren zum Überwachen des Plasmaentladungszustands in einer Plasmaverarbeitungsvorrichtung
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2010016124A (ja) * 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101145538B1 (ko) * 2007-07-31 2012-05-15 도쿄엘렉트론가부시키가이샤 배치식 플라즈마 처리 장치
US8336490B2 (en) 2007-08-31 2012-12-25 Tokyo Electron Limited Plasma processing apparatus
US8608902B2 (en) 2009-01-23 2013-12-17 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
US20080093024A1 (en) 2008-04-24
CN101010786A (zh) 2007-08-01
KR100955359B1 (ko) 2010-04-30
TW200623263A (en) 2006-07-01
CN100474526C (zh) 2009-04-01
TWI402911B (zh) 2013-07-21
JP4344886B2 (ja) 2009-10-14
US8267041B2 (en) 2012-09-18
EP1791172A1 (en) 2007-05-30
EP1791172A4 (en) 2009-01-28
JP2006073913A (ja) 2006-03-16
WO2006027972A1 (ja) 2006-03-16

Similar Documents

Publication Publication Date Title
KR100955359B1 (ko) 플라즈마 처리 장치
KR101161911B1 (ko) 플라즈마 처리 장치
JP4470970B2 (ja) プラズマ処理装置
CN100524641C (zh) 等离子体处理装置
JP4382750B2 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
KR101274616B1 (ko) 플라즈마 처리 장치
KR101040992B1 (ko) 기판 처리 장치
JP6307984B2 (ja) 基板処理装置
JP5443127B2 (ja) プラズマ処理装置
KR101805971B1 (ko) 성막 장치, 성막 방법 및 기억 매체
TWI632610B (zh) 基板處理裝置
KR101802559B1 (ko) 기판 처리 장치
KR20180014656A (ko) 기판 처리 장치 및 기판 처리 방법
JP2013065872A (ja) 半導体装置の製造方法および基板処理装置
JP6662249B2 (ja) 基板処理装置及び基板処理方法
KR100799382B1 (ko) 플라즈마 처리 장치
JP2023062369A (ja) 着火制御方法、成膜方法及び成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170322

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 10