KR20060121781A - 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 - Google Patents

가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 Download PDF

Info

Publication number
KR20060121781A
KR20060121781A KR1020060108003A KR20060108003A KR20060121781A KR 20060121781 A KR20060121781 A KR 20060121781A KR 1020060108003 A KR1020060108003 A KR 1020060108003A KR 20060108003 A KR20060108003 A KR 20060108003A KR 20060121781 A KR20060121781 A KR 20060121781A
Authority
KR
South Korea
Prior art keywords
gas
gas distribution
distribution plate
inlet manifold
sidewall
Prior art date
Application number
KR1020060108003A
Other languages
English (en)
Other versions
KR100882072B1 (ko
Inventor
존 엠. 화이트
에른스트 켈러
웬델 티. 블로니간
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23940398&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20060121781(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060121781A publication Critical patent/KR20060121781A/ko
Application granted granted Critical
Publication of KR100882072B1 publication Critical patent/KR100882072B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49428Gas and water specific plumbing component making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 가요성 측벽에 의해 현가된 천공형 가스 분배판을 갖춘 플라즈마 챔버용 가스 유입 매니폴드에 관한 것이다. 가요성 현가부는 가스 분배판의 열팽창에 기인한 기계적 응력을 최소화한다. 다른 양태에서, 현가부는 가스 분배판과 챔버의 다른 구성요소 사이에 단열을 제공한다.

Description

가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버{APPARATUS FOR DISPENSING GAS AND CHAMBER WITH THE SAME}
도 1은 본 발명의 가스 유입 매니폴드를 포함하는 플라즈마 챔버의 개략적인 부분단면도.
도 2는 가스 유입 매니폴드의 코너부의 부분확대 사시도.
도 3은 가스 유입 매니폴드의 코너부의 횡단면도.
도 4는 가스 유입 매니폴드의 일측부의 종단면도.
도 5는 가스 유입 매니폴드의 코너부의 종단면도.
도 6은 도 2에 도시된 코너부의 확대도.
도 7은 접혀지기 전의 선택적인 코너 접합부 또는 커플러의 평면도.
도 8은 도 7의 선택적인 커플러를 갖춘 코너부의 확대도.
도 9는 가요성 측벽의 상부의 일부분이 대기압에 노출된 가스 유입 매니폴드를 갖춘 도 4와 유사한 선택적인 실시예를 도시한 도면.
도 10은 도 9의 상세도.
도 11은 도 9의 선택적인 실시예의 도 2와 유사한 도면.
도 12는 가스 유입 매니폴드의 측벽의 상부에 직접 연결된 전기 케이블을 도시한 도 10과 유사한 도면.
도 13은 가요성 측벽이 코너부에 접하고 코너부 커플러가 생략된 선택적인 가스 유입 매니폴드의 코너부의 부분확대 사시도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 챔버벽 12 : 서셉터
14 : 기판(소재) 18 : 덮개
20 : 가스 분배판(확산기) 22 : 가스 분배판 내의 오리피스
24 : 현가부(가요성 측벽) 26 : 상부
28 : 가스 유입 매니폴드의 후방벽
30 : 가스 유입 오리피스 34 : 유전체 스페이서
45-48 : 0-링(진공 밀봉 재료) 62,64 : 슬롯형 커버(커플러)
본 발명은 일반적으로 플라즈마 챔버로 가스를 공급하기 위한 가스 분배 매니폴드에 관한 것이다. 보다 상세하게, 본 발명은 가스 분배판의 열팽창을 수용하는 가요성 측벽에 의해 현가된 천공형 가스 분배판을 갖춘 매니폴드에 관한 것이다.
평면 패널 디스플레이 및 집적 회로와 같은 전자 소자는 통상적으로 기판 상에 층을 증착하고 증착된 재료를 원하는 패턴으로 에칭하는 것을 포함하는 여러 공정 단계에 의해 제조된다. 이러한 공정 단계는 통상적으로 플라즈마 강화 화학 기 상 증착(CVD) 공정 및 플라즈마 에칭 공정을 포함한다.
플라즈마 공정은 플라즈마 챔버로 불리는 진공 챔버로 처리 가스 혼합물을 공급하는 단계와, 처리 가스를 플라즈마 상태로 여기시키기 위해 전력 또는 전자기력을 가하는 단계를 포함한다. 플라즈마는 가스 혼합물을 이온 종들로 분해시키는데, 이들 이온 종들이 소정의 증착 또는 에칭 공정을 수행한다.
용량성(capacitively) 여기 CVD 챔버에서, 플라즈마는 양극 전극과 음극 전극 사이에 인가된 RF 전력에 의해 여기된다. 일반적으로 기판은 음극 전극으로 작용하는 받침대 또는 서셉터 상에 장착된다. 통상적으로, 양극 전극은 기판으로부터 약간 떨어져서 기판에 평행하게 장착된다. 통상적으로, 양극 전극은 또한 챔버 내로 처리 가스 혼합물을 공급하기 위한 가스 분배판으로써 작용한다. 양극 전극은 양극과 음극 사이의 갭으로 처리 가스 혼합물을 흐르게 하는 수백 또는 수천개의 오리피스로 천공되어 있다. 이러한 오리피스들은 가스 분배판의 표면을 가로질러 이격되어 있어서 기판 부근에서 처리 가스 혼합물의 공간적인 균일성을 극대화시킨다. 또한 확산판(diffuser plate) 또는 "샤워 헤드(shower head)"로 명명되는 이러한 가스 분배판은 1989년 8월 8일자로 창(Chang) 등에게 허여된 미국 특허 제 4,854,263호에 개시되어 있다.
천공된 가스 분배판은 일반적으로 플라즈마 챔버의 덮개 또는 상부벽에 견고하게 장착된다. 이러한 견고한 장착은 플라즈마로부터 열을 받음에 따라 천공판의 열팽창을 수용하지 못하는 단점을 가진다. 가스 분배판에 대한 결과적인 기계적 응력은 분배판을 변형시키거나 파손시킬 수 있다. 기계적 응력의 완화는 대용량 평면 패널 디스플레이와 같은 보다 큰 소재를 처리하는데 요구되는 보다 큰 분배판에서 가장 중요한 문제가 되었다. 따라서, 이러한 열적으로 유도된 기계적 응력을 최소화시키는 가스 분배 장치를 필요로 하게 되었다.
종래의 가스 분배판이 가지는 다른 문제점은 가스 분배판이 CVD 공정 동안 일반적으로 냉각된 상태로 유지되어서, 기판 표면으로부터 바람직하지 못한 열손실을 유발한다는 점이다. 특히, 종래의 가스 분배판은 일반적으로 높은 열용량(thermal mass) 및 높은 열전도도를 갖는 챔버 덮개 또는 측벽에 직접 볼트로 고정되므로, 덮개 또는 측벽은 분배판으로부터 열을 빼앗는 열싱크(heat sink)로써 작용한다. 따라서, 종래의 구성은 전형적으로 바람직하지 못한 저온으로 가스 분배판을 유지시킨다.
본 발명의 목적은 열적으로 유도된 기계적 응력을 최소화할 수 있고, 고온으로 유지될 수 있는 가스 분배판을 제공하는 것이다.
본 발명은 플라즈마 챔버용 가스 유입 매니폴드를 제공하는 것이다. 이러한 매니폴드는 가스 분배판의 열팽창 또는 열수축을 수용하는 가요성 측벽에 의해 현가된 천공형 가스 분배판을 갖추고 있다. 본 발명은 이러한 열팽창 또는 열수축에 대한 가스 분배판의 변형 또는 균열을 방지하는 장점을 갖는다.
바람직한 실시예에서, 가요성 측벽은 작은 갭에 의해 분리된 다수의 세그먼트를 갖추고 있으며, 매니폴드는 가요성 측벽 세그먼트의 이동을 허용하면서 갭을 통한 가스 누출을 최소화하는 신규한 밀봉 플랜지를 포함한다.
다른 양태에서, 본 발명은 높은 온도에서 천공형 가스 분배판의 작용을 용이하게 한다. 이러한 가스 분배판은 유입 매니폴드 측벽에 의해 챔버벽으로부터 매달려 있다. 유입 매니폴드 측벽은 가스 분배판과 챔버벽 사이에 상당한 열적 임피던스를 제공함으로써, 가스 분배판의 온도가 증가될 수 있게 허용한다. 이러한 본 발명의 양태는 챔버를 작동시키는 동안 소재의 노출된 표면으로부터 열손실을 감소시키는데 유리하다.
플라즈마 챔버 개요
도 1은 소위 가스 분배 매니폴드 또는 플레넘(plenum)으로 불리는 본 발명에 따른 가스 유입 매니폴드(20-32)를 포함하는 플라즈마 챔버를 도시하고 있다. 도시된 챔버는 화학 기상 증착(CVD) 또는 에칭과 같은 플라즈마-조력 공정을 수행하는데 적합하다. 특히, 유리 기판 상에 평면 디스플레이의 전자 회로를 제조하기 위한 CVD 공정을 수행하는데 적합하다.
플라즈마 챔버 또는 진공 챔버는 챔버의 내부를 둘러싸는 바람직하게는 알루미늄으로 제조된 하우징 또는 벽(10)을 갖추고 있다. 이러한 챔버벽(10)은 챔버 내부의 바닥 및 측부를 위한 진공 엔클로저를 제공한다. 금속 받침대 또는 서셉터(12)는 음극 전극으로써 작용하며, 소재 또는 기판(14)을 지지하는 편평한 상부 표면을 갖추고 있다. 선택적으로, 기판은 서셉터와 집적 접촉하지 않고, 예컨대 다수의 리프트 핀(도시되지 않음)에 의해 서셉터의 상부면으로부터 약간 위에 유지될 수도 있다.
외부 가스 공급기(도시되지 않음)는 하나 이상의 처리 가스를 처리 챔버로 이송한다. 특히, 이러한 처리 챔버는 매니폴드 내부로서 언급되는 영역을 둘러싸는 가스 유입 매니폴드 또는 플레넘(20-32)(이하에 상세하게 기술됨)을 포함한다. 외부 가스 공급기로부터 가스 유입 매니폴드의 외벽 또는 후방벽(28) 내의 가스 유입 개구 또는 오리피스(30)로 연장하는 가스 라인 또는 도관은 처리 가스를 매니폴드 내부로 공급한다. 이후, 처리 가스는 가스 분배판 또는 확산판(20) 내의 수백 또는 수천개의 오리피스(22)를 통해 매니폴드 밖으로 흘러서, 가스 분배판과 서셉터(12) 사이의 챔버 내측 영역으로 유입된다.
종래의 진공 펌프(도시되지 않음)는 챔버 내를 원하는 수준의 진공으로 유지하고, 환형의 배출 슬릿(42)을 통해 챔버로부터 환형의 배출 플레넘(44) 내로, 이어서 배출 채널(도시되지 않음)을 통해 펌프로 처리 가스 및 반응 생성물을 배출한다.
가스 분배판 또는 확산판(20)은 도전성 재료, 바람직하게는 알루미늄으로 제조되어서, 양극 전극으로 작용할 수 있다. RF 전력 공급기(도시되지 않음)는 가스 분배판과 전기적으로 접지된 챔버 구성요소 사이에 연결된다. RF 전력 공급기의 전형적인 주파수는 13MHz이다. RF 전력은 고온이기 때문에, 가스 분배판(20)은 환형의 유전체 스페이서(34,35,36)에 의해 덮개로부터 절연된다. 챔버 측부 및 바닥벽(10), 및 덮개(18)는 접지 전극에 연결된다. 서셉터 또는 소재 지지 받침대(12)는 전형적으로 접지되지만, 통상적으로 바이어스 전력 공급기로 언급되는 제 2 RF 전력 공급기에 선택적으로 연결될 수 있다.
음극 전극(서셉터; 12)과 양극 전극(가스 분배판; 20) 사이에 가해진 RF 전력은 두 전극 사이의 영역에서 전자기장을 발생시킴으로써, 그 영역 내의 가스를 플라즈마 상태로 여기시킨다. 플라즈마는 원하는 증착 또는 에칭 공정을 수행하도록 소재 상의 노출된 재료와 반응하는 반응종을 처리 가스 혼합물로부터 형성한다.
소재(14)와 가스 분배판(20) 사이의 챔버 영역 내에 플라즈마를 집중시키기 위해, 바람직하게는 분배판 부근에 있는 챔버 내의 다른 금속 표면은 유전체 라이너(liner)로 덮혀진다. 특히, 유전체 라이너(37)는 덮개(18)의 하측에 볼트로 고정되며, 유전체 라이너(38)는 챔버 측벽(10)을 덮는다. 가스 유입 매니폴드와 덮개 사이의 환형 갭에서의 플라즈마 형성을 방지하고 RF 전력 소모를 최소화하기 위해, 유전체 라이너(41)가 환형 갭을 점유한다.
분리가능한 덮개(18)는 챔버벽의 추가적인 부분으로써 작용하도록 챔버 측벽(10) 상부에 위치한다. 가스 유입 매니폴드(20-32)는 내측으로 연장하는 덮개의 환형 쉘 상에 위치된다. 커버(16)는 덮개(18)의 상부에 클램프된다. 커버의 유일한 목적은 이하에 기술된 바와 같이 사람의 신체가 고온의 RF가 가해지는 가스 유입 매니폴드의 일부분과 접촉하는 사고를 방지하는 것이다.
챔버 구성요소는 챔버 내에서 수행될 반도체 제조 공정을 오염시키지 않고 또한 처리 가스에 의해 부식되지 않는 재료로 제조되어야 한다. 알루미늄은 유전체 스페이서, 라이너(34-41), 및 O-링(45-48) 이외의 모든 구성요소를 위한 바람직한 재료이다.
가스 유입 매니폴드 이외의 모든 플라즈마 챔버의 구성요소는 전형적이다. 전형적인 플라즈마 CVD 및 에칭 챔버의 구성 및 작용은 1998년 12월 1일자로 화이트(White) 등에게 허여된 미국 특허 제 5,844,205호, 및 1989년 8월 8일자로 창 등에게 허여된 미국 특허 제 4,854,263호에 개시되어 있는데, 이들 각각은 본 발명에서 참조하였다.
가스 유입 매니폴드
도 2 내지 도 4는 가스 유입 매니폴드 또는 플레넘을 보다 상세하게 도시하고 있다. 이러한 가스 유입 매니폴드는 가스 분배판 또는 확산판(20)에 의해 바닥부가 한정되고, 가요성 측벽 또는 현가부(24)에 의해 측부가 한정되며, 그리고 외벽 또는 후방벽(28)에 의해 상부가 한정되는 내부 영역을 갖추고 있다(도 2 및 도 3에 도시된 삼각형 코너 기둥(58)은 이하에 기술될 것이다).
도시된 실시예에서, 가스 분배판(20)은 3cm의 두께를 갖는 알루미늄판이다. 바람직하게, 이러한 가스 분배판은 챔버 내에 진공이 형성될 때 대기압 하에서 현저하게 변형되지 않도록 충분한 두께를 가져야 한다.
본 발명의 가스 유입 매니폴드 구성에서, 가스 분배판(20)은 얇은 가요성 측벽 또는 현가부(24)에 의해 현가되어서, 현가부가 가스 분배판의 전체 하중을 지지하도록 한다. 이하의 "열적으로 유도된 기계적 팽창/수축의 수용"으로 명명된 섹션에 기술된 바와 같이, 현가부는 가스 분배판의 팽창 및 수축에 대한 응력을 최소화시키기 위해 가요성을 갖는다. 가요성 측벽은 챔버벽(10)에 직접 또는 간접적으로 장착되고 챔버벽(10)에 의해 지지되는 상부(26)를 갖추고 있다. "간접적인" 장착 및 지지라는 것은, 도 1의 실시예에서의 후방벽(28)과 덮개(18)와 같이 상 부(26)와 챔버벽(10) 사이에 위치되는 중간 구성요소들을 통해 현가부의 상단부가 챔버벽에 의해 지지된다는 것을 의미한다.
가스 유입 매니폴드의 후방벽(28)은 현가부의 상부(26)에 접하도록 장착되어서, 후방벽이 가스 유입 매니폴드의 내부 영역의 상부 경계 또는 엔클로저를 형성하도록 한다.
직사각형 가스 분배판(20)을 갖춘 도시된 실시예에서, 가요성 측벽 또는 현가부(24)는 바람직하게는 4개의 별도의 얇은 가요성의 시이트 금속 단편으로 구성되며, 이들 각각은 가스 분배판의 4개의 측부 중 하나를 구성한다. 측벽 또는 현가부(24)의 4개의 금속 단편 또는 측부는 가스 유입 매니폴드의 내부를 전체적으로 둘러싼다.
플라즈마 챔버 내의 플라즈마가 가스 유입 매니폴드에 의해 둘러싸인 영역, 즉 가스 분배판(20)과 후방벽(28) 사이의 영역으로 유입되는 것을 방지하도록, 가스 분배판 내의 오리피스(22)는 플라즈마 음영 공간(dark space)의 폭보다 작은 직경을 가져야 한다. 플라즈마 음영 공간의 폭, 나아가 오리피스의 최적의 직경은 챔버 압력 및 챔버 내에서 수행되고자 하는 특정 반도체 제조 공정의 다른 인자들에 따라 달라진다. 그 대신에, 특히 분리하기가 난해한 반응물 가스를 사용하는 플라즈마 공정을 수행하기 위해, 창 등에게 허여된 미국 특허 제 4,854,263호에 개시된 바와 같이 좁은 유입구 및 넓은 나팔꽃형 배출구를 갖춘 오리피스를 적용하는 것이 바람직할 수도 있다.
바람직하게, 가스 유입 매니폴드는 또한 가스 유입 변류기(gas inlet deflector)를 포함하는데, 이러한 가스 유입 변류기는 가스 유입 오리피스(30)의 직경 보다 약간 큰 직경을 갖는 원형 디스크로 구성되고 기둥(도시되지 않음)에 의해 오리피스 아래에 현가되어 있다. 이러한 변류기는 가스가 가스 유입구(30)로부터 가스 분배판의 중앙에서 밀접해 있는 홀(22)을 향해 직선형 경로로 흐르는 것을 방지함으로써, 가스 분배판의 중앙 및 둘레를 통한 각각의 가스 유량을 균일화시키는데 조력한다.
실시예 1 - 후방벽은 진공 밀봉을 제공함
도 1 내지 도 8에 도시된 실시예에서, 후방벽(28)의 상부면은 대기압에 노출되는 가스 유입 매니폴드의 유일한 구성요소이며, 후방벽은 진공 밀봉을 요구하는 가스 유입 매니폴드의 유일한 구성요소이다. 특히, 진공 내부와 챔버 외측의 대기압 사이의 진공 밀봉은 후방벽(28)과 유전체 스페이서(34) 사이의 제 1 진공 밀봉 재료(45) 및 유전체 스페이서(34)와 챔버벽 표면 사이의 제 2 진공 밀봉 재료(46)에 의해 제공된다. 도시된 실시예에서, 챔버벽의 표면은 유전체 스페이서가 놓이는 덮개(18)의 표면이다. 도시된 실시예는 분리가능한 덮개(18)를 포함하기 때문에, 추가적인 진공 밀봉 재료(48)가 덮개와 챔버 측벽(10) 사이에 요구된다. 밀봉 재료(45,46,48)는 바람직하게는 O-링이다.
본 실시예에서, 후방벽(28)과 가요성 측벽(24)의 상부(26) 사이에 가스 기밀 밀봉이 요구되지 않는다. 이러한 접합부에서의 가스 누출 결과로, 소량의 처리 가스만이 가스 분배판(20) 내의 오리피스(22)를 통해서가 아닌 누출부를 통해 챔버 내측으로 유입될 것이다. 결과적으로, 도시된 바람직한 실시예에서는, 후방벽(28) 과 가요성 측벽의 상부(26) 사이에 어떠한 O-링도 존재하지 않는다. 상부(26)는 후방벽(28)의 가장자리를 따라 서로 이격되어 있는 다수의 볼트(72)에 의해 후방벽(28)에 단순히 고정된다(도 4 참조).
유입 매니폴드 측벽(24)이 금속이기 때문에, 이들은 가스 분배판(20)과 후방벽(28) 사이에 양호한 RF 전기적 접촉을 제공할 수 있다. 따라서, 가스 분배판을 RF 전력 공급기에 연결하는 전기적 케이블은 가스 분배판 보다는 후방벽의 외부면에 직접 부착될 수 있다. RF 케이블을 가스 분배판에 직접 부착하는 것은 RF 연결부가 잠재적인 부식성 처리 가스 혼합물에 노출되기 때문에 바람직하지 못하다. 볼트(72)는 가요성 측벽(24)의 상부(26)와 후방벽(28) 사이의 양호한 RF 전기적 접촉을 보장하는데 조력하며, 용접부(56)는 측벽의 하부(54)와 가스 분배판 사이에 양호한 RF 전기적 접촉을 보장하는데 조력한다.
실시예 2 - 측벽의 상부 플랜지는 또한 진공 밀봉을 제공함
도 9 내지 도 11에 도시된 선택적인 실시예에서, 가스 유입 매니폴드의 가요성 측벽 또는 현가부(24)의 상부 플랜지(70)는 외부 대기압에 부분적으로 노출된다. 이는 전체 현가부(24)가 상부(26)를 포함하고 가스 유입 매니폴드의 후방벽(28)의 둘레부에 의해 완전히 둘러싸인 도 1 내지 도 8의 실시예와 대비된다. 결과적으로, 도 9 내지 도 11의 실시예에서, 가요성 측벽의 상부 플랜지(70)는 챔버 내부와 외부 대기압 사이의 진공 밀봉에 기여해야만 하는데, 이전의 실시예들 보다 하나의 O-링을 더 요구한다.
이전의 실시예들에 있어서, 두 개의 O-링(45,46) 또는 다른 밀봉 재료가 유 전체 스페이서(34)의 어느 한 측부 상에 요구되는데, 즉 유전체 스페이서와 가요성 측벽(24)의 상부 플랜지(70) 사이에는 제 1 의 O-링(45)이, 유전체 스페이서와 덮개(18) 사이에는 제 2 의 O-링(46)이 요구된다. 이전의 실시예들과 달리, 본 실시예는 부가적으로 상부 플랜지(70)와 후방벽(28) 사이에 제 3 의 O-링(47) 또는 다른 밀봉 재료를 요구한다.
상부 플랜지(70)와 후방벽(28) 사이를 진공 밀봉시키기 위해, 상부(26)가 가스 유입 매니폴드의 4개의 코너부 중 어느 하나의 둘레로도 연장하지 않는 이전의 실시예들과 대조적으로, 제 3 의 O-링(47)과 접촉하는 상부 플랜지(70)의 부분은 O-링의 완전한 원주 둘레를 따라 연속적이고 중단되지 않아야 한다(도 11 참조).
가요성 측벽 또는 현가부(24)는 연속적이고 또 중단되지 않아야 할 필요성이 없는데, 이는 현가부가 외부 대기압과 챔버 내부 사이의 진공 밀봉의 일부분이 아니기 때문이다. 따라서, 이전의 실시예들에서와 같이, 현가부가 4개의 별도의 금속 단편으로 구성될 수 있다.
후방벽(28)의 가장자리 둘레를 따라 서로 이격된 복수의 볼트(72)들은 현가부(24)의 상부 플랜지(70)를 후방벽에 부착시킨다.
상부 플랜지(70)는 바람직하게는 중심 개구를 갖는 직사각형 프레임으로써 형상화된다. 이는 직사각형판으로부터 중심 개구를 절개하거나 스탬핑함으로써 제조될 수 있다. 본 실시예의 상부 플랜지(70)는 이전 실시예의 4개의 보강 바아(27)를 대체한다. 상부 플랜지(70)는 바람직하게는 후방벽(28)에 접하는 평활하고 편평한 상부면을 갖추어야 한다. 현가부(24)의 상부(26)가 이러한 상부면의 평 면 위로 돌출하는 것을 방지하기 위해, 상부(26)는 바람직하게 플랜지의 상부면 아래에 리세스 가공된(recesed) 선반(shelf)에서 상부 플랜지(70)에 (예를 들어, 용접부(57)에 의해) 부착된다.
도 1 내지 도 8의 실시예에서 언급된 바와 같이, 도 9 내지 도 11의 바람직한 실시예에서는, RF 케이블을 후방벽(28)의 상부면에 직접 연결시키는 것이 보다 바람직하다. 볼트(72)는 후방벽(28)에 대항하여 현가부(24)의 상부 플랜지(70)를 가압함으로써, 후방벽과 현가부 사이에 양호한 RF 전기적 접촉이 달성되는 것을 조력한다. 도 1 내지 도 8의 실시예에 대한 본 실시예의 중요한 장점은 볼트(72)가 O-링(47) 외부로 방사형으로 위치될 수 있다는 점이다. 결과적으로, O-링(47)은 궁극적으로 전기적 접촉을 열화시킬 수 있는 챔버 내의 부식성 처리 가스에 볼트(72)-가장 중요하게는 후방벽(28)과 현가부의 상부 플랜지(70) 사이의 인접한 전기적 접촉 영역-가 노출되는 것을 방지한다.
도 1 내지 도 8의 실시예와 달리, 도 9 내지 도 11의 실시예는 상부 플랜지(70)의 방사상 외부 부분이 후방벽(28)에 의해 덮혀지지 않는다. 따라서, 본 실시예는 도 12에 도시된 바와 같이 후방벽(28)의 둘레부의 방사상 외측 영역에서 RF 전력 공급기로부터의 전기 케이블(74)이 상부 플랜지(70)에 직접 연결되도록 한다. 이러한 대안적인 구현에서, 전기적 케이블은 후방벽에 연결되지 않기 때문에, 측벽(24)과 후방벽 사이의 낮은 임피던스 전기 접촉을 보장할 필요성이 없다. 바람직하게, 도 12의 실시예에서, 상부 플랜지(70)는 도 9 내지 도 11에서와 동일한 볼트(72)를 사용하여 후방벽(28)에 기계적으로 장착되는데, 이러한 볼트들은 도 12에 서는 도시되지 않았다.
열적으로 유도된 기계적 팽창/수축의 수용
본 발명에 따른 유입 매니폴드의 가요성 측벽 또는 현가부(24)의 신규하고 유용한 작용은 확산기(20)가 열팽창 및 열수축될 때 가스 분배판 또는 확산기(20)를 변형 또는 파손시킬 수 있는 기계적 응력을 최소화시키는 것이다(가스 분배판을 간단하게 확산기로 언급함). 확산기(20)가 팽창하는 양은 확산기의 크기 및 확산기의 온도 모두에 비례한다. 따라서, 대용량 평면 패널 디스플레이와 같은 보다 큰 소재를 처리하는데 요구되는 큰 확산기에서 기계적 응력을 완화시키는 것이 매우 중요하다. 본 발명의 기본적인 틀에서, 확산기의 넓이는 300mm×350mm이다. 이하에 기술된 이유에 근거하여, CVD 공정을 수행하는 동안 확산기는 250℃ 내지 325℃의 온도로 유지하는 것이 바람직하다. 이러한 온도에서 알루미늄 확산기는 각각의 칫수에서 약 1% 정도 팽창, 즉 예시적인 300mm×350mm의 넓이를 갖는 확산기는 약 3mm 정도 팽창함을 알 수 있다.
확산기(20)의 넓이가 통상적인 챔버 작동중의 온도 변화에 대응하여 팽창 및 수축할 때, 이는 가요성 측벽 또는 현가부(24)를 소정량으로 굽히도록 힘을 가한다. 측벽은 상당한 힘을 가하지 않더라도 소정량으로 충분히 굽혀질 수 있도록 유연해야 한다. 특히, 확산기와 측벽 사이의 굽힘력은 확산기의 파손 또는 변형을 방지하도록 충분히 낮아야 한다. 보다 특히, 굽힘력은 0.1mm = 100미크론 이상으로, 보다 바람직하게는 0.025mm = 25미크론 이상으로, 가장 바람직하게는 0.01mm = 10미크론 이상으로 확산기의 형태가 변형되는 것을 방지하도록 충분히 낮아야 한 다. 기판(14)과 대면하는 확산기의 표면 형태 또는 편평도가 상기한 양 이상으로 변형되는 것을 방지하는 것이 특히 중요하다.
성공적으로 수행된 도 1의 실시예에서, 유입 매니폴드 측벽(24)은 1mm의 두께 및 50mm의 높이를 갖는 시이트(sheet) 알루미늄이었다.
측벽이 그의 전체 높이를 따라 가요성을 갖도록 가요성 시이트 알루미늄으로 가요성 측벽 또는 현가부(24) 전체를 구성하는 것이 가장 간단하지만, 이는 필수적인 것이 아니다. 현가부가 상부(26)와 하부(54) 사이의 소정의 위치에 하나 이상의 가요성 부분을 포함하도록 하면 충분하다.
굽힘력을 감소시키는 설계 인자는 (1) 현가부의 가요성 부분을 위한 보다 큰 가요성의 재료를 선택하고, (2) 가요성 부분의 두께를 감소시키고, (3) 가요성 부분의 길이(즉, 높이)를 증가시키는 것이다. 길이 또는 높이에 의해, 확산기의 평면에 수직한 방향을 따라 측벽의 가요성 부분의 칫수를 알 수 있다.
상기한 바와 같이, 챔버가 작동하는 동안 발생되는 열에 응답하여, 본 발명에 따른 300mm×350mm의 확산기는 1% 또는 3mm 만큼 폭이 팽창하였다. 따라서, 4개의 측벽 각각은 상기 양의 1/2, 즉 1.5mm 만큼 횡방향으로 변형되었다. 각각의 측벽이 굽혀지는 각도는 측벽의 횡방향 변형을 측벽의 높이로 나눈 값이며, 본 실시예에서는 1.5mm/50mm = 0.03라디안 = 1.7°이다. 따라서, 본 실시예에서, 측벽 또는 현가부(24)는 확산기에 상당한 힘을 가하지 않으면서 적어도 1.7°로 굽혀질 수 있도록 충분한 가요성을 가져야만 한다. 상기한 바와 같이, 이러한 굽힘력은 바람직하게는 10 또는 25미크론 이상으로 확산기의 형태를 변형시키지 않아야 한 다.
도시된 바람직한 실시예에서, 기판(14) 및 확산기(20)는 직사각형이다. 가요성 측벽(24)은 직사각형 단면을 갖는 단일의 연속적인 환 형태(annulus)일 수도 있지만, 연속적인 구성은 확산기의 열적으로 유도된 기계적 팽창 및 수축이 측벽(24)의 코너부에서 과도한 응력을 발생시키기 때문에 바람직하지 못하다. 이러한 응력을 방지하기 위한 본 발명의 바람직한 구성은 가요성 측벽을 직사각형 확산기의 각각의 측벽에 대해 하나씩인 4개의 세그먼트 또는 단편(piece)으로 분할하고 조인트에서 무시할 수 있는 양의 가스만이 누출될 수 있도록 하는 신규한 팽창 조인트를 각각의 코너부에 제공하는 것이다.
특히, 유입 매니폴드 측벽 또는 현가부(24)는 바람직하게는 얇은 가요성 시이트 알루미늄으로 제조된 4개의 별개의 단편으로 구성되며, 이들은 직사각형 유입 매니폴드의 4개의 측벽에 각각 위치된다(도 2 및 도 3 참조). 4개의 측벽(24) 각각은 바람직하게는 편평한 직사각형 시이트 금속 단편으로 형성되며, 이러한 단편의 상단부는 외부로 연장하는 립(lip)형상의 상부(26)를 형성하도록 90°로 굽혀져 있고, 단편의 하단부는 내부로 연장하는 플랜지 형상의 하부(54)를 형성하도록 90°로 굽혀져 있다(도 4 참조). 상기 하부는 바람직하게는 확산기 내의 그루브에 삽입됨으로써 확산기(20)에 부착되고 이후 용접 비드(56)에 의해 보강된다.
4개의 상부(26) 각각은 강성 바아(27), 바람직하게는 5mm 두께의 알루미늄 바아에 의해 보강된다. 각각의 보강 바아(27)는 후방벽(28)의 하측에 볼트로 고정되며, 대응하는 상부(26)는 보강 바아와 후방벽 사이에 끼워넣어져서, 상부 립 부 분을 후방벽에 클램핑시킨다.
확산기를 유입 매니폴드 측벽 단편(24)에 부착하기 위해, 그루브(groove)가 확산기의 4개의 측면 각각의 거의 전체 폭에 걸쳐 연장한다(도 2). 4개의 측벽 단편(24) 각각은 하단부에서 직각으로 굽혀지며, 굽힘부 아래의 내부로 연장하는 하부(54)는 확산기의 대응하는 그루브 내로 끼워맞춤되는 하부 장착 플랜지를 구성한다(도 4). 하나 이상의 용접 비드(56)가 플랜지형상의 하부(54) 및 확산기(20)에 용접되어서 이들을 서로 고정시킨다.
상기한 바람직한 실시예는 4개의 별도의 세그먼트 또는 단편으로써 유입 매니폴드 측벽(24)을 구현하기 때문에, 두 개의 인접한 측벽 단편은 확산기의 각각의 4개의 코너부 부근에서 만날 것이다. 과도한 처리 가스가 접합부에서 유입 매니폴드로부터 챔버로 누출되지 않도록, 인접하는 측벽(24) 단편의 에지들 사이의 접합부 또는 밀봉부가 각각의 코너부에 제공되어야 한다. 확산기의 열팽창을 수용하는 본 발명의 가요성 유입 매니폴드 측벽의 장점을 유지하기 위해, 확산기가 팽창 및 수축할 때, 접합부는 유입 매니폴드 측벽의 가요성을 수용할 수 있어야 한다.
도 2, 도 3, 및 도 6은 확산기의 4개의 코너부 각각에서의 바람직한 접합을 도시하고 있다. 4개의 측벽(24) 단편의 각각의 두 단부(60)는 45°각도로 내부로 굽혀져서, 주어진 코너부에서, 두 개의 인접하는 측벽(24) 단편의 각 단부는 동일 평면상에 놓인다. 인접한 단부(60)들 사이의 적절한 가스-기밀 밀봉은 두 개의 단부(60) 위에서 미끄러지는 슬롯형 커버 또는 커플러(62,64)에 의해 달성된다. 이러한 커플러는 수직한 중앙 시임부(seam)를 따라 두 개의 시이트 알루미늄 단편을 함께 용접하고 하나의 커플러 단편(62)과 다른 커플러 단편(64) 사이에 슬롯을 형성하도록 하나의 커플러 단편(62)을 굽힘으로써 제조된다. 커플러의 시임부가 두 개의 단부(60)들 사이의 갭 내에 대략적으로 중심맞춤되고 각각의 단부(60)가 커플러의 두 슬롯 중 대응하는 하나의 슬롯에 적절하게 끼워맞춤되도록 슬롯형 커플러는 두 개의 단부(60) 위를 미끄러짐으로써 설치된다. 천공(22)을 통해 유동하는 의도된 가스 흐름의 작은 분율의 가스가 유입 매니폴드로부터 챔버로 누출되도록, 슬롯은 단부(60) 둘레에 정교하게 끼워맞춤될 수 있는 크기를 가진다. 그럼에도 불구하고, 슬롯은 확산기가 팽창 및 수축할 때 단부(60)의 반경방향 이동을 허용할 수 있는 충분한 크기를 갖는다.
도 7 및 도 8은 직사각형의 단일 시이트 금속 단편(66)으로 구성된 슬롯형 커버 또는 커플러에 대한 대안적인 구성을 도시하고 있다. 한 쌍의 직사각형 노치는 도 7에 도시된 바와 같이 커플러(66)의 두 절반부들 사이에 얇은 브리지(68)만이 남아 있도록 절단되어 있다. 커플러(66)는 도 8에 도시된 것처럼 브리지에서 절반으로 접힌다. 브리지(68)의 폭(W)은 코너부에서 만나는 두 개의 유입 매니폴드 측벽의 단부(60)들 사이에서 미끄러질 수 있도록 충분히 좁다. 슬롯형 커플러(66)는 이전에 기술된 커플러(62,64)와 동일한 방식으로, 즉 두 단부(60) 위로 커플러(66)를 미끄러뜨림으로써 설치된다. 브리지(68)의 길이(L)는 도 8에 도시된 바와 같이 커플러가 접혀질 때 커플러(66)의 두 반부 사이의 갭을 결정한다. 이러한 갭은 확산기의 팽창 및 수축에 대응하여 유입 매니폴드 측벽이 굽혀질 때 단부(60)의 이동을 허용할 수 있을 정도로 충분히 크면서도, 슬롯형 커플러(66)의 두 반부가 단부(60) 둘레에 적절하게 끼워맞춤되어 선행하는 문단에 기술된 바와 같이 가스 누출을 최소화할 수 있을 정도로 충분히 작아야 한다.
본 발명의 바람직한 실시예는 추가적으로 가스 유입 매니폴드의 4개의 코너부 각각에 도 2, 도 3, 도 5, 및 도 6에 도시된 바와 같이 삼각형 단면을 갖는 고정식 코너부 지지 기둥(58)을 포함한다. 이러한 코너부 지지 기둥(58)은 도 5 및 도 6에 도시된 바와 같이 확산기(20)에 볼트로 고정되며, 확산기가 팽창 및 수축할 때 슬롯형 커플러의 이동을 방해하지 않도록 슬롯형 커플러(62,64)로부터 외부로 이격된다. 코너부 지지 기둥은 플라즈마 챔버가 작동하는 동안 작용하지 않으므로, 이는 생략될 수 있다. 코너부 지지 기둥의 유일한 기능은 가스 유입 매니폴드 조립체(20-32)가 플라즈마 챔버 외측에 보관될 때, 예컨대 매니폴드 조립체가 예비 부품으로써 보관되거나 챔버의 유지보수를 위해 플라즈마 챔버로부터 제거될 때, 얇은 측벽(24)들이 변형되는 것을 방지하는 것이다.
도 13에 도시된 대안적인 구성에서, 4개의 코너부 커버 또는 커플러(60-66)는 가요성 측벽(24)의 4개의 단편 각각을 연장시켜서 이들을 확산기의 4개의 코너부에 인접시킴으로써 간단히 생략될 수 있다. 이러한 단순화된 구성은 코너부에서의 보다 많은 처리 가스 누출을 발생시킬 수도 있지만, 여러 응용에서, 누출 가스량은 소재에 대해 수행될 플라즈마 공정에 현저한 영향을 미치지 않을 만큼 소량일 수도 있다.
실리콘 웨이퍼와 같은 원형 소재(14)를 처리하기 위한 챔버에서, 확산기(20)는 전술된 실시예에서의 직사각형 단면 보다는 바람직하게는 원형의 단면을 가져야 한다. 이러한 경우, 가스 유입 매니폴드의 가요성 현가부 또는 측벽(24)은 환형의 연속적인 단일 단편일 수 있다. 그 대신, 현가부의 가요성은 이전에 기술된 실시예의 직사각형 측벽의 4개의 세그먼트와 유사하게 축선방향으로 연장하는 작은 갭에 의해 소정수의 축선방향으로 연장하는 세그먼트로 분할함으로써 증가될 수 있다.
200mm 직경의 실리콘 웨이퍼를 처리하기 위해 최근에 가장 보편적으로 사용되는 챔버에서는 확산기의 열팽창이 심각한 문제가 되지 않지만, 이러한 열팽창은 산업의 추세가 보다 큰 직경의 웨이퍼, 즉 보다 큰 직경의 확산기로 이동함에 따라 보다 중요하게 될 것이다. 따라서, 이는 본 발명이 중요하게 적용되는 분야이다.
단열
외부 대기압과 챔버 내부 사이의 신뢰성 있는 진공 밀봉을 보장하기 위해, 과도한 온도로부터 O-링(45-48)을 보호하는 것이 중요하다. 저가의 O-링(예를 들어, 비톤 탄성체(Viton elastomer)로 구성됨)은 전형적으로 250℃ 이하에서 제조자에 의해 평가되며, 일부 숙련가들은 O-링의 신뢰성을 최대화하기 위해 O-링을 100℃ 이하의 온도로 유지시켜야 한다고 믿고 있다.
O-링(46,48)은 덮개(18)와 직접 접촉하고, O-링(47)은 가스 유입 매니폴드의 후방벽(28)과 직접 접촉하며, 따라서 이들 O-링의 온도는 덮개 및 후방벽 각각의 온도와 거의 동일할 것으로 예상된다. 제 1실시예에서, O-링(45)은 후방벽과 직접 접촉하는 반면, 제 2실시예(도 9 내지 도 11)에서는 O-링(45)이 현가부(24)의 상부 플랜지(70)와 직접 접촉한다. 바람직하게, 상부 플랜지가 후방벽에 양호한 열접촉 식으로 장착되기 때문에, 본 실시예에서 O-링(45)은 다른 O-링 보다 약간 높은 온도일 것으로 예상된다.
단순히 대기압으로 노출시키는 것만으로도 덮개(18) 및 챔버벽(10)을 100 내지 140℃의 온도로 충분히 유지시킬 수 있음을 알았다. 일반적으로, 유입 매니폴드 후방벽(28)은 챔버 내의 플라즈마로부터의 열방사에 직접 노출되지 않기 때문에 보다 저온이 된다. 따라서, O-링(45-48)의 온도가 140℃를 초과하지 않을 것임을 예상하였다. 이러한 온도는 수냉과 같은 추가적인 냉각을 필요로 하지 않을 만큼 충분히 낮은 것이다.
그렇지만, 선택적으로, 냉각수가 펌핑될 수 있는 워터 재킷(water jacket)(도시되지 않음)으로 둘러싸서 챔버 측벽(10)을 보다 더 냉각시킬 수 있다. 유사하게, 덮개(18), 후방벽(28), 및 커버(16)는 커버(16) 아래의 후방벽(28)의 상부면 상에 장착된 밀봉된 워터 재킷(도시되지 않음)을 통해 동일한 물을 펌핑함으로써 냉각될 수 있다. 이러한 수냉은 O-링(45-48)의 온도가 100℃를 초과하는 것을 방지할 수 있다.
가스 유입 매니폴드의 후방벽(28)으로 RF 전력이 가해지기 때문에, 유전체가 워터 재킷과 후방벽 사이에 삽입되어야 한다. 워터 재킷과 후방벽 사이의 온도차를 증가시키기를 원하는 경우에는 두꺼운 유전체가 선택될 수 있다. 이는 후방벽을 물의 온도 보다 실질적으로 높은 온도, 즉 100℃ 이상의 온도로 유지시키기를 원하는 분야에서 유용할 수도 있다. 이러한 고온으로 후방벽을 유지시키는 것은 가스 분배판의 온도를 상승시키는데 조력하는데, 이는 다음 문단에서 설명된 이유 로 인해 바람직할 수 있다.
O-링에 대해서는 저온이 중요하지만, 가스 분배판 또는 확산기(20)에 대해서 저온은 바람직하지 못하다. 250 내지 325℃로 가스 분배판의 온도를 상승시키는 것은 기판(14)의 표면으로부터의 열손실을 감소시키는데 유리하다. 또한, 챔버의 내측으로부터 잔류물을 세척하기 위해 전형적인 현장형(in-situ) 플라즈마 공정을 사용하고자 하는 경우, 가스 분배판의 온도가 상승된다면 가스 분배판의 세척은 가속화된다.
종래의 구성에서, 가스 분배판은 높은 열용량 및 높은 열전도도를 갖는 챔버 덮개 또는 측벽에 직접 볼트로 고정되므로, 덮개 또는 측벽은 분배판으로부터 열을 빼앗는 열싱크로써 작용한다. 대조적으로, 본 발명의 신규한 유입 매니폴드 측벽(24)은 가스 분배판과 덮개(18) 및 챔버벽(10)과 같은 다른 챔버 구성요소 사이에 열저항을 제공함으로써 가스 분배판을 단열시킬 수 있다. 따라서, 본 발명의 가스 분배판은 종래의 구성 보다 높은 온도에서 작동할 수 있다.
가스 분배판(20)에 원하는 단열을 제공하기 위한 본 발명의 바람직한 구성에서, 본 발명의 유입 매니폴드 측벽(24)(또는 그의 일부분)은 충분히 얇고, 충분한 길이 및 높이를 가짐으로써, 후방벽(28), 챔버 덮개(18), 챔버 측벽(10), 및 O-링(45-47)이 장착되는 챔버 구성요소와 가스 분배판 사이에 상당한 온도차를 제공하도록 측벽(24)(또는 이러한 부분)의 열저항이 충분히 크게 된다. 길이 또는 높이에 의해, 가스 분배판의 평면에 수직한 방향을 따른 칫수를 알 수 있다. 성공적으로 수행된 도 1의 실시예에서, 유입 매니폴드 측벽은 1mm의 두께 및 5cm의 높이 를 갖는 시이트 알루미늄이다.
플라즈마 CVD 공정을 수행하는 동안 가스 분배판(20)을 위한 바람직한 온도는 200℃ 이상, 바람직하게는 250 내지 325℃, 가장 바람직하게는 약 300℃이다. 본 발명의 유입 매니폴드 측벽(24)은 외부 챔버 구성요소가 100 내지 140℃를 초과하지 않으면서 가스 분배판이 이러한 온도에 도달할 수 있도록 충분한 열저항성을 가진다. 챔버벽(10), 덮개(18), 및 유입 매니폴드 후방벽(28)은 충분히 낮은 온도로 O-링(45-48)을 유지하기 위한 열싱크로써 작용하도록 고려될 수 있다.
만일 플라즈마 공정을 수행하는 동안 가스 분배판(20)의 온도가 300℃이고, 후방벽(28) 및 O-링(45-48)의 온도가 140℃라면, 유입 매니폴드 측벽(24)에 걸친 온도차는 약 160℃이다. 챔버 구성요소가 플라즈마 공정 동안 정상적인 작동 온도에 도달한 후 온도차가 100℃ 이상이 되도록, 본 발명은 바람직하게는 측벽 두께가 충분히 작고, 측벽 높이가 충분히 커야함을 고려한다.
다른 구성에서, 가스 분배판(20)의 단열은 두 개의 접촉 영역: 즉, (1) 현가부와 가스 분배판 사이의 접촉 영역, 및 (2) 현가부와 챔버벽에 열적으로 연결된 다른 챔버 구성요소 사이의 접촉 영역, 모두 또는 그 중 하나의 열저항을 증가시킴으로써 달성될 수 있다.
이러한 접근방법의 일 실시는 이들 두 접촉 영역 중 적어도 하나의 표면적을 감소시키는 것이다. 예컨대, 현가부와 가스 분배판 사이의 열저항은 가스 분배판과 현가부의 플랜지 형상의 하부(54) 사이의 용접 비드(56)에 의해 덮혀지는 표면적을 감소시킴으로써 증가될 수 있다(도 4 및 도 10). 다른 예로서, 도 9 내지 도 12의 실시예에서, 현가부와 다른 챔버 구성요소[덮개(18) 및 후방벽(28)] 사이의 열저항은 상부(26)와 현가부의 플랜지(70) 사이의 용접 비드(57)에 의해 덮혀진 표면적을 감소시킴으로써 증가될 수 있다. 어느 하나의 예에서, 가능한 실시는 현가부의 둘레를 따라 폭이 각각 1과 1/2인치인 단지 6개 또는 8개의 용접 비드(56 또는 57)를 제공하는 것이다. 용접 비드는 또한 가스 분배판에 RF 전력을 유도하기 때문에, 균일한 RF 전력 분포가 달성되도록 현가부(24)의 둘레 주위에서 균일한 간격으로 이격되어야 한다.
상기한 본 발명에 의하면, 가스 분배판에서의 열적으로 유도된 기계적 응력을 최소화하고 또한 고온으로 유지함으로써 가스 분배판의 파손 및 변형을 방지할 수 있고, 또한 가스 분배판을 고온으로 유지할 수 있다.

Claims (23)

  1. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하는 현가부를 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며; 그리고
    상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에 위치하고 상기 가스 유입 매니폴드 상부벽과 상기 가스 분배판 사이에 위치하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되는,
    진공 챔버.
  2. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,
    상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에 위치하고 상기 가스 유입 매니폴드 상부벽과 상기 가스 분배판 사이에 위치하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,
    진공 챔버.
  3. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,
    상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에서 그 가스 분배판에 수직으로 연장하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,
    진공 챔버.
  4. 제 1항 내지 제 3항 중 어느 한 항에 있어서,
    상기 가스 유입 매니폴드 측벽의 수는 하나 인,
    진공 챔버.
  5. 진공 챔버로서,
    하나 이상의 가스 유입 오리피스를 갖는 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및
    하나 이상의 측벽을 갖는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각 측벽의 가요성 부분이 상기 가스 분배판에 접하도록, 상기 각 측벽의 하부가 상기 가스 분배판에 연결되며, 그리고
    상기 각 측벽의 가요성 부분이 상기 가스 유입 매니폴드 상부벽에 접하도록, 그리고 상기 현가부가 상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각 측벽의 상부가 상기 상부벽에 연결되는,
    진공 챔버.
  6. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록,상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 상기 각 측벽이 1.7도 이상 굽혀질 수 있도록 충분한 가요성을 갖는,
    진공 챔버.
  7. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 가스 분배판이 1 퍼센트 이상 팽창될 수 있도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,
    진공 챔버.
  8. 진공 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및
    하나 이상의 가스 유입 매니폴드 측벽을 포함하며;
    상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 가스 분배판이 1 퍼센트 이상 팽창되는 것을 허용할 수 있을 정도로 상기 측벽의 가요성 부분을 굽히는데 큰 힘이 필요하지 않도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,
    진공 챔버.
  9. 플라즈마 챔버로서,
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및
    가요성 시이트를 각각 포함하는 하나 이상의 측벽을 갖는 현가부를 포함하며,
    상기 각각의 측벽의 하부는 상기 가스 분배판에 연결되고; 그리고
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,
    플라즈마 챔버.
  10. 플라즈마 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및
    하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,
    상기 각각의 시이트는 상기 시이트의 하단부에 인접한 굽힘부를 포함하여 플랜지를 형성하며,
    상기 각 시이트의 플랜지는 상기 가스 분배판에 연결되고; 그리고
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,
    플라즈마 챔버.
  11. 플라즈마 챔버로서:
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및
    하나 이상의 측벽을 갖는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되며,
    상기 가스 분배판은 하나 이상의 그루브를 포함하며,
    상기 각 측벽의 하부는 상기 가스 분배판의 그루브들 중 하나내에 장착되는,
    플라즈마 챔버.
  12. 플라즈마 챔버로서,
    하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;
    제 1 및 제 2 표면, 상기 제 1 표면으로부터 상기 제 2 표면으로 연장하는 하나 이상의 가스 배출 오리피스, 및 상기 제 1 및 제 2 표면과 상이하며 그루브를 각각 포함하는 다수의 측면을 갖는 가스 분배판; 및
    하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,
    상기 각각의 시이트는 상기 시이트의 하단부에 인접한 굽힘부를 포함하여 플랜지를 형성하며,
    상기 플랜지의 적어도 일부가 상기 가스 분배판의 그루브들 중 하나내에 위치하도록, 상기 각 시이트의 플랜지는 상기 가스 분배판에 연결되며; 그리고
    상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 시이트의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,
    플라즈마 챔버.
  13. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 포함하는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면 위쪽에 위치하도록, 상기 각 측벽이 상기 가스 분배판에 연결되는,
    가스 분배 장치.
  14. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 포함하는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면의 위쪽에 위치하도록, 상기 각 측벽이 상기 가스 분배판에 연결되며,
    상기 각 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,
    가스 분배 장치.
  15. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 갖는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면에 수직인 방향을 따라 위쪽으로 연장하도록, 상기 각 측벽이 상기 가스 분배판에 연결되며,
    상기 각 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,
    가스 분배 장치.
  16. 제 13항 내지 제 15항 중 어느 한 항에 있어서,
    상기 측벽의 수는 1개 인,
    가스 분배 장치.
  17. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 포함하는 현가부를 포함하며,
    상기 각 측벽은 상기 가스 분배판에 연결되며,
    상기 각 측벽의 적어도 일부분은 상기 각 측벽이 1.7도 이상 굽혀질 수 있도 록 충분한 가요성을 갖는,
    가스 분배 장치.
  18. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 포함하는 현가부를 포함하며,
    상기 각 측벽은 상기 가스 분배판에 연결되며,
    상기 가스 분배판이 1 퍼센트 이상 팽창될 수 있게 상기 현가부가 허용하도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,
    가스 분배 장치.
  19. 가스 분배 장치로서:
    하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및
    하나 이상의 측벽을 포함하는 현가부를 포함하며,
    상기 각 측벽은 상기 가스 분배판에 연결되며,
    상기 가스 분배판이 1 퍼센트 이상 팽창되는 것을 허용할 수 있을 정도로 상기 측벽의 가요성 부분을 굽힐 때 큰 힘이 필요하지 않도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,
    가스 분배 장치.
  20. 가스 분배 장치로서,
    하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및
    하나 이상의 측벽을 갖는 현가부를 포함하며;
    상기 각각의 측벽은 상기 가스 분배판에 연결되고; 그리고
    상기 각각의 측벽은 가요성 시이트를 포함하는,
    가스 분배 장치.
  21. 가스 분배 장치로서,
    하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및
    하나 이상의 가요성 시이트를 구비하는 현가부를 포함하며,
    상기 각각의 시이트는 해당 시이트의 일 단부에 인접하여 플랜지를 형성하는 굽힘부를 포함하며;
    상기 각각의 플랜지는 상기 가스 분배판에 연결되는,
    가스 분배 장치.
  22. 가스 분배 장치로서,
    제 1 및 제 2 표면과 상기 제 1 및 제 2 표면과 상이한 하나 이상의 측면을 갖는 가스 분배판; 및
    하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,
    상기 가스 분배판은 하나 이상의 가스 배출 오리피스에 의해 천공되며, 상기 각각의 가스 배출 오리피스는 상기 제 1 표면으로부터 상기 제 2 표면으로 연장하며, 그리고 상기 각각의 측면은 그루브를 포함하며,
    상기 각각의 시이트는 해당 시이트의 일 단부에 인접하여 플랜지를 형성하는 굽힘부를 포함하며,
    상기 플랜지의 적어도 일부분이 상기 가스 분배판의 그루브들 중 하나 내에 위치하도록, 상기 각각의 플랜지가 상기 가스 분배판에 연결되는,
    가스 분배 장치.
  23. 가스 분배 장치로서,
    하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및
    하나 이상의 측벽을 갖는 현가부를 포함하며,
    상기 각 측벽의 적어도 일부분은 가요성을 가지며,
    상기 가스 분배판은 하나 이상의 그루브를 포함하며, 그리고
    상기 각 측벽의 하부는 상기 가스 분배판의 그루브들 중 하나내에 장착되는,
    가스 분배 장치.
KR1020060108003A 2000-01-20 2006-11-02 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 KR100882072B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/488,612 US6477980B1 (en) 2000-01-20 2000-01-20 Flexibly suspended gas distribution manifold for plasma chamber
US09/488,612 2000-01-20

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020010003198A Division KR100737228B1 (ko) 2000-01-20 2001-01-19 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020080113938A Division KR20080108208A (ko) 2000-01-20 2008-11-17 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버

Publications (2)

Publication Number Publication Date
KR20060121781A true KR20060121781A (ko) 2006-11-29
KR100882072B1 KR100882072B1 (ko) 2009-02-10

Family

ID=23940398

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020010003198A KR100737228B1 (ko) 2000-01-20 2001-01-19 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020050016708A KR20050033573A (ko) 2000-01-20 2005-02-28 가스 분배 장치 및 가스 분배 방법
KR1020060108003A KR100882072B1 (ko) 2000-01-20 2006-11-02 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020060108004A KR100802682B1 (ko) 2000-01-20 2006-11-02 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020080113938A KR20080108208A (ko) 2000-01-20 2008-11-17 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020100012871A KR20100033988A (ko) 2000-01-20 2010-02-11 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020100127659A KR101287100B1 (ko) 2000-01-20 2010-12-14 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020010003198A KR100737228B1 (ko) 2000-01-20 2001-01-19 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020050016708A KR20050033573A (ko) 2000-01-20 2005-02-28 가스 분배 장치 및 가스 분배 방법

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020060108004A KR100802682B1 (ko) 2000-01-20 2006-11-02 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020080113938A KR20080108208A (ko) 2000-01-20 2008-11-17 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020100012871A KR20100033988A (ko) 2000-01-20 2010-02-11 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR1020100127659A KR101287100B1 (ko) 2000-01-20 2010-12-14 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버

Country Status (7)

Country Link
US (3) US6477980B1 (ko)
EP (1) EP1118693B1 (ko)
JP (1) JP4430253B2 (ko)
KR (7) KR100737228B1 (ko)
DE (1) DE60125608T2 (ko)
SG (1) SG87200A1 (ko)
TW (1) TW477830B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100967612B1 (ko) * 2008-07-09 2010-07-05 주식회사 메카로닉스 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
WO2014035096A1 (ko) * 2012-08-28 2014-03-06 주식회사 유진테크 기판처리장치
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
DE10041698A1 (de) * 2000-08-24 2002-03-14 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
WO2004001817A1 (en) 2002-06-21 2003-12-31 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7189491B2 (en) * 2003-12-11 2007-03-13 Az Electronic Materials Usa Corp. Photoresist composition for deep UV and process thereof
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
EP1738251A2 (en) * 2004-04-16 2007-01-03 Cascade Basic Research Corp. Modelling relationships within an on-line connectivity universe
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7584714B2 (en) * 2004-09-30 2009-09-08 Tokyo Electron Limited Method and system for improving coupling between a surface wave plasma source and a plasma space
US20060075970A1 (en) * 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US20060177772A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP2007042744A (ja) * 2005-08-01 2007-02-15 Sharp Corp プラズマ処理装置
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US8216374B2 (en) 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100738876B1 (ko) * 2006-02-21 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
KR100711184B1 (ko) * 2006-03-27 2007-04-24 주식회사 마이크로텍 샤워헤드 브래킷
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4800845B2 (ja) * 2006-05-30 2011-10-26 積水化学工業株式会社 プラズマ処理装置
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
CN101205605B (zh) * 2006-12-18 2012-01-11 东京毅力科创株式会社 用于热增强和等离子体增强气相沉积的装置及操作方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7988875B2 (en) * 2007-02-08 2011-08-02 Applied Materials, Inc. Differential etch rate control of layers deposited by chemical vapor deposition
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
DE102007022431A1 (de) * 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
KR20080100057A (ko) * 2007-05-11 2008-11-14 주성엔지니어링(주) 결정질 실리콘 태양전지의 제조방법과 그 제조장치 및시스템
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7875486B2 (en) 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
WO2009082753A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Asymmetrical rf drive for electrode of plasma chamber
EP2245912A2 (en) * 2008-01-31 2010-11-03 Applied Materials, Inc. Multiple phase rf power for electrode of plasma chamber
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5271586B2 (ja) * 2008-04-09 2013-08-21 東京エレクトロン株式会社 プラズマ処理容器およびプラズマ処理装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101555955B1 (ko) 2008-06-19 2015-09-25 주성엔지니어링(주) 기판형 태양전지의 제조방법
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR20110074854A (ko) * 2008-08-28 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치
TWI475708B (zh) * 2008-09-01 2015-03-01 Applied Materials Inc 利用流量梯度設計以沉積均勻矽膜之方法與設備
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101059064B1 (ko) 2009-06-08 2011-08-24 주식회사 테스 대면적 가스분사장치
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
TWI500804B (zh) * 2009-11-17 2015-09-21 Applied Materials Inc 具有電極rf匹配之大面積電漿處理腔室
JP5835722B2 (ja) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
JP2013524510A (ja) 2010-03-30 2013-06-17 アプライド マテリアルズ インコーポレイテッド p型拡散層の上に負荷電パッシベーション層を形成する方法
JP6104157B2 (ja) 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
JP5045786B2 (ja) * 2010-05-26 2012-10-10 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
KR101009506B1 (ko) * 2010-09-08 2011-01-18 주식회사 아키덤엔지니어링건축사사무소 전주용 완금밴드장치
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101441478B1 (ko) * 2012-07-09 2014-09-17 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
TWI644073B (zh) 2013-03-11 2018-12-11 美商應用材料股份有限公司 高溫處理室蓋體
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10426001B2 (en) * 2013-03-15 2019-09-24 Tokyo Electron Limited Processing system for electromagnetic wave treatment of a substrate at microwave frequencies
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
WO2020100400A1 (ja) * 2018-11-16 2020-05-22 株式会社アルバック 真空処理装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
TWI766219B (zh) 2019-01-07 2022-06-01 日商愛發科股份有限公司 真空處理裝置及真空處理裝置之清潔方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2020145190A1 (ja) * 2019-01-07 2020-07-16 株式会社アルバック 真空処理装置
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
JP7316863B2 (ja) * 2019-07-19 2023-07-28 東京エレクトロン株式会社 第一導電性部材と第二導電性部材の接合構造と接合方法、及び基板処理装置
CN112192154A (zh) * 2020-09-30 2021-01-08 靖江先锋半导体科技有限公司 刻蚀机用气体喷淋盘的加工工艺

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3729208C1 (de) * 1987-08-29 1988-12-22 Rotring Werke Riepe Kg Schneidvorrichtung
JP2837993B2 (ja) * 1992-06-19 1998-12-16 松下電工株式会社 プラズマ処理方法およびその装置
JPH06124960A (ja) * 1992-10-13 1994-05-06 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0831421B2 (ja) * 1992-10-14 1996-03-27 ヒラノ光音株式会社 プラズマ電極装置
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
WO1995033866A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
EP1032723A1 (en) 1997-11-17 2000-09-06 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100967612B1 (ko) * 2008-07-09 2010-07-05 주식회사 메카로닉스 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
WO2014035096A1 (ko) * 2012-08-28 2014-03-06 주식회사 유진테크 기판처리장치
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체

Also Published As

Publication number Publication date
US6823589B2 (en) 2004-11-30
TW477830B (en) 2002-03-01
KR100882072B1 (ko) 2009-02-10
KR20100033988A (ko) 2010-03-31
EP1118693A3 (en) 2001-10-17
US7017269B2 (en) 2006-03-28
US20030066607A1 (en) 2003-04-10
KR20080108208A (ko) 2008-12-12
JP2001284271A (ja) 2001-10-12
JP4430253B2 (ja) 2010-03-10
DE60125608D1 (de) 2007-02-15
KR20010076391A (ko) 2001-08-11
US20040118345A1 (en) 2004-06-24
KR100737228B1 (ko) 2007-07-09
KR20050033573A (ko) 2005-04-12
EP1118693B1 (en) 2007-01-03
KR20060122798A (ko) 2006-11-30
KR20110004343A (ko) 2011-01-13
DE60125608T2 (de) 2007-11-15
KR101287100B1 (ko) 2013-07-17
US6477980B1 (en) 2002-11-12
SG87200A1 (en) 2002-03-19
EP1118693A2 (en) 2001-07-25
KR100802682B1 (ko) 2008-02-12

Similar Documents

Publication Publication Date Title
KR100802682B1 (ko) 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
KR100929455B1 (ko) 플라즈마 챔버용의 현가형 가스 분배 매니폴드
US7641762B2 (en) Gas sealing skirt for suspended showerhead in process chamber
US7776178B2 (en) Suspension for showerhead in process chamber
JP5489390B2 (ja) プロセスチャンバ内のシャワーヘッド用サスペンション
US8062717B2 (en) RF current return path for a large area substrate plasma reactor
JPH10144614A (ja) Cvdプラズマリアクタにおける面板サーマルチョーク
WO2000060653A1 (fr) Dispositif de traitement au plasma, procede de maintenance et procede d'installation dudit dispositif
CN112233959A (zh) 基板支承单元和包括其的基板处理系统
JP7140525B2 (ja) 真空処理装置
KR102633111B1 (ko) 기판지지대, 기판처리장치, 및 기판처리시스템
KR20240077235A (ko) 냉각 플레이트 및 이를 포함하는 플라즈마 처리 챔버
CN118248513A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
A107 Divisional application of patent
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 12