KR20060121781A - 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 - Google Patents
가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 Download PDFInfo
- Publication number
- KR20060121781A KR20060121781A KR1020060108003A KR20060108003A KR20060121781A KR 20060121781 A KR20060121781 A KR 20060121781A KR 1020060108003 A KR1020060108003 A KR 1020060108003A KR 20060108003 A KR20060108003 A KR 20060108003A KR 20060121781 A KR20060121781 A KR 20060121781A
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- gas distribution
- distribution plate
- inlet manifold
- sidewall
- Prior art date
Links
- 238000009826 distribution Methods 0.000 claims description 177
- 239000000725 suspension Substances 0.000 claims description 54
- 238000000034 method Methods 0.000 claims description 37
- 238000005452 bending Methods 0.000 claims description 6
- 230000009466 transformation Effects 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 147
- 239000000758 substrate Substances 0.000 description 13
- 239000000463 material Substances 0.000 description 12
- 229910052782 aluminium Inorganic materials 0.000 description 11
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 11
- 125000006850 spacer group Chemical group 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 230000008602 contraction Effects 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 239000011324 bead Substances 0.000 description 6
- 239000003566 sealing material Substances 0.000 description 6
- 238000005530 etching Methods 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000001816 cooling Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000012634 fragment Substances 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000002787 reinforcement Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 229920002449 FKM Polymers 0.000 description 1
- 240000001549 Ipomoea eriocarpa Species 0.000 description 1
- 235000005146 Ipomoea eriocarpa Nutrition 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000003014 reinforcing effect Effects 0.000 description 1
- 241000894007 species Species 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49428—Gas and water specific plumbing component making
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49826—Assembling or joining
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Plasma Technology (AREA)
Abstract
Description
Claims (23)
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하는 현가부를 포함하며;상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며; 그리고상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에 위치하고 상기 가스 유입 매니폴드 상부벽과 상기 가스 분배판 사이에 위치하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되는,진공 챔버.
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하며;상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에 위치하고 상기 가스 유입 매니폴드 상부벽과 상기 가스 분배판 사이에 위치하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,진공 챔버.
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하며;상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 가요성을 가지며,상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,상기 가스 분배판이 상기 가스 유입 매니폴드 상부벽의 아래쪽에 현가되도록, 그리고 상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분이 상기 가스 분배판의 위쪽에서 그 가스 분배판에 수직으로 연장하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 각각의 가스 유입 매니폴드 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,진공 챔버.
- 제 1항 내지 제 3항 중 어느 한 항에 있어서,상기 가스 유입 매니폴드 측벽의 수는 하나 인,진공 챔버.
- 진공 챔버로서,하나 이상의 가스 유입 오리피스를 갖는 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및하나 이상의 측벽을 갖는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 각 측벽의 가요성 부분이 상기 가스 분배판에 접하도록, 상기 각 측벽의 하부가 상기 가스 분배판에 연결되며, 그리고상기 각 측벽의 가요성 부분이 상기 가스 유입 매니폴드 상부벽에 접하도록, 그리고 상기 현가부가 상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각 측벽의 상부가 상기 상부벽에 연결되는,진공 챔버.
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하며;상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록,상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 각각의 가스 유입 매니폴드 측벽의 적어도 일부분은 상기 각 측벽이 1.7도 이상 굽혀질 수 있도록 충분한 가요성을 갖는,진공 챔버.
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하며;상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 가스 분배판이 1 퍼센트 이상 팽창될 수 있도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,진공 챔버.
- 진공 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 구비한 가스 분배판; 및하나 이상의 가스 유입 매니폴드 측벽을 포함하며;상기 각각의 가스 유입 매니폴드 측벽은 상기 가스 분배판에 연결된 하부를 구비하며,상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 가스 유입 매니폴드 측벽의 상부가 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 가스 분배판이 1 퍼센트 이상 팽창되는 것을 허용할 수 있을 정도로 상기 측벽의 가요성 부분을 굽히는데 큰 힘이 필요하지 않도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,진공 챔버.
- 플라즈마 챔버로서,하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및가요성 시이트를 각각 포함하는 하나 이상의 측벽을 갖는 현가부를 포함하며,상기 각각의 측벽의 하부는 상기 가스 분배판에 연결되고; 그리고상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,플라즈마 챔버.
- 플라즈마 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,상기 각각의 시이트는 상기 시이트의 하단부에 인접한 굽힘부를 포함하여 플랜지를 형성하며,상기 각 시이트의 플랜지는 상기 가스 분배판에 연결되고; 그리고상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,플라즈마 챔버.
- 플라즈마 챔버로서:하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;하나 이상의 가스 배출 오리피스를 갖는 가스 분배판; 및하나 이상의 측벽을 갖는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 측벽의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되며,상기 가스 분배판은 하나 이상의 그루브를 포함하며,상기 각 측벽의 하부는 상기 가스 분배판의 그루브들 중 하나내에 장착되는,플라즈마 챔버.
- 플라즈마 챔버로서,하나 이상의 가스 유입 오리피스를 구비한 가스 유입 매니폴드 상부벽을 포함하는 챔버벽;제 1 및 제 2 표면, 상기 제 1 표면으로부터 상기 제 2 표면으로 연장하는 하나 이상의 가스 배출 오리피스, 및 상기 제 1 및 제 2 표면과 상이하며 그루브를 각각 포함하는 다수의 측면을 갖는 가스 분배판; 및하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,상기 각각의 시이트는 상기 시이트의 하단부에 인접한 굽힘부를 포함하여 플랜지를 형성하며,상기 플랜지의 적어도 일부가 상기 가스 분배판의 그루브들 중 하나내에 위치하도록, 상기 각 시이트의 플랜지는 상기 가스 분배판에 연결되며; 그리고상기 가스 분배판을 상기 가스 유입 매니폴드 상부벽 아래쪽에 현가하도록, 상기 각각의 시이트의 상부는 상기 가스 유입 매니폴드 상부벽에 연결되는,플라즈마 챔버.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 포함하는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면 위쪽에 위치하도록, 상기 각 측벽이 상기 가스 분배판에 연결되는,가스 분배 장치.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 포함하는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면의 위쪽에 위치하도록, 상기 각 측벽이 상기 가스 분배판에 연결되며,상기 각 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,가스 분배 장치.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 갖는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 각 측벽의 가요성 부분이 상기 가스 분배판의 상부 표면에 수직인 방향을 따라 위쪽으로 연장하도록, 상기 각 측벽이 상기 가스 분배판에 연결되며,상기 각 측벽의 가요성 부분은 상기 가스 분배판의 열 팽창을 수용할 수 있을 정도로 충분한 가요성을 가지는,가스 분배 장치.
- 제 13항 내지 제 15항 중 어느 한 항에 있어서,상기 측벽의 수는 1개 인,가스 분배 장치.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 포함하는 현가부를 포함하며,상기 각 측벽은 상기 가스 분배판에 연결되며,상기 각 측벽의 적어도 일부분은 상기 각 측벽이 1.7도 이상 굽혀질 수 있도 록 충분한 가요성을 갖는,가스 분배 장치.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 포함하는 현가부를 포함하며,상기 각 측벽은 상기 가스 분배판에 연결되며,상기 가스 분배판이 1 퍼센트 이상 팽창될 수 있게 상기 현가부가 허용하도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,가스 분배 장치.
- 가스 분배 장치로서:하부 표면, 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 하나 이상의 가스 배출 오리피스를 구비하는 가스 분배판; 및하나 이상의 측벽을 포함하는 현가부를 포함하며,상기 각 측벽은 상기 가스 분배판에 연결되며,상기 가스 분배판이 1 퍼센트 이상 팽창되는 것을 허용할 수 있을 정도로 상기 측벽의 가요성 부분을 굽힐 때 큰 힘이 필요하지 않도록, 상기 각 측벽의 적어도 일부분이 충분한 가요성을 갖는,가스 분배 장치.
- 가스 분배 장치로서,하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및하나 이상의 측벽을 갖는 현가부를 포함하며;상기 각각의 측벽은 상기 가스 분배판에 연결되고; 그리고상기 각각의 측벽은 가요성 시이트를 포함하는,가스 분배 장치.
- 가스 분배 장치로서,하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및하나 이상의 가요성 시이트를 구비하는 현가부를 포함하며,상기 각각의 시이트는 해당 시이트의 일 단부에 인접하여 플랜지를 형성하는 굽힘부를 포함하며;상기 각각의 플랜지는 상기 가스 분배판에 연결되는,가스 분배 장치.
- 가스 분배 장치로서,제 1 및 제 2 표면과 상기 제 1 및 제 2 표면과 상이한 하나 이상의 측면을 갖는 가스 분배판; 및하나 이상의 가요성 시이트를 갖는 현가부를 포함하며,상기 가스 분배판은 하나 이상의 가스 배출 오리피스에 의해 천공되며, 상기 각각의 가스 배출 오리피스는 상기 제 1 표면으로부터 상기 제 2 표면으로 연장하며, 그리고 상기 각각의 측면은 그루브를 포함하며,상기 각각의 시이트는 해당 시이트의 일 단부에 인접하여 플랜지를 형성하는 굽힘부를 포함하며,상기 플랜지의 적어도 일부분이 상기 가스 분배판의 그루브들 중 하나 내에 위치하도록, 상기 각각의 플랜지가 상기 가스 분배판에 연결되는,가스 분배 장치.
- 가스 분배 장치로서,하나 이상의 가스 배출 오리피스에 의해 천공된 가스 분배판; 및하나 이상의 측벽을 갖는 현가부를 포함하며,상기 각 측벽의 적어도 일부분은 가요성을 가지며,상기 가스 분배판은 하나 이상의 그루브를 포함하며, 그리고상기 각 측벽의 하부는 상기 가스 분배판의 그루브들 중 하나내에 장착되는,가스 분배 장치.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/488,612 US6477980B1 (en) | 2000-01-20 | 2000-01-20 | Flexibly suspended gas distribution manifold for plasma chamber |
US09/488,612 | 2000-01-20 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010003198A Division KR100737228B1 (ko) | 2000-01-20 | 2001-01-19 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020080113938A Division KR20080108208A (ko) | 2000-01-20 | 2008-11-17 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20060121781A true KR20060121781A (ko) | 2006-11-29 |
KR100882072B1 KR100882072B1 (ko) | 2009-02-10 |
Family
ID=23940398
Family Applications (7)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010003198A KR100737228B1 (ko) | 2000-01-20 | 2001-01-19 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020050016708A KR20050033573A (ko) | 2000-01-20 | 2005-02-28 | 가스 분배 장치 및 가스 분배 방법 |
KR1020060108003A KR100882072B1 (ko) | 2000-01-20 | 2006-11-02 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020060108004A KR100802682B1 (ko) | 2000-01-20 | 2006-11-02 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020080113938A KR20080108208A (ko) | 2000-01-20 | 2008-11-17 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020100012871A KR20100033988A (ko) | 2000-01-20 | 2010-02-11 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020100127659A KR101287100B1 (ko) | 2000-01-20 | 2010-12-14 | 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010003198A KR100737228B1 (ko) | 2000-01-20 | 2001-01-19 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020050016708A KR20050033573A (ko) | 2000-01-20 | 2005-02-28 | 가스 분배 장치 및 가스 분배 방법 |
Family Applications After (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020060108004A KR100802682B1 (ko) | 2000-01-20 | 2006-11-02 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020080113938A KR20080108208A (ko) | 2000-01-20 | 2008-11-17 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020100012871A KR20100033988A (ko) | 2000-01-20 | 2010-02-11 | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 |
KR1020100127659A KR101287100B1 (ko) | 2000-01-20 | 2010-12-14 | 가스 분배 장치 및 상기 가스 분배 장치를 포함하는 챔버 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6477980B1 (ko) |
EP (1) | EP1118693B1 (ko) |
JP (1) | JP4430253B2 (ko) |
KR (7) | KR100737228B1 (ko) |
DE (1) | DE60125608T2 (ko) |
SG (1) | SG87200A1 (ko) |
TW (1) | TW477830B (ko) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100967612B1 (ko) * | 2008-07-09 | 2010-07-05 | 주식회사 메카로닉스 | 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치 |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
WO2014035096A1 (ko) * | 2012-08-28 | 2014-03-06 | 주식회사 유진테크 | 기판처리장치 |
KR101468541B1 (ko) * | 2012-10-25 | 2014-12-04 | 주식회사 에스에프에이 | 가스 분배 조립체 |
Families Citing this family (300)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
DE10041698A1 (de) * | 2000-08-24 | 2002-03-14 | Infineon Technologies Ag | Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes |
TWI224815B (en) * | 2001-08-01 | 2004-12-01 | Tokyo Electron Ltd | Gas processing apparatus and gas processing method |
US20030087488A1 (en) * | 2001-11-07 | 2003-05-08 | Tokyo Electron Limited | Inductively coupled plasma source for improved process uniformity |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US7018517B2 (en) | 2002-06-21 | 2006-03-28 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US20040052969A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate |
US7270713B2 (en) | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US7500445B2 (en) * | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7316761B2 (en) * | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
KR100490049B1 (ko) * | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
KR100965758B1 (ko) * | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US20050050708A1 (en) * | 2003-09-04 | 2005-03-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded fastener apparatus and method for preventing particle contamination |
US20050103267A1 (en) * | 2003-11-14 | 2005-05-19 | Hur Gwang H. | Flat panel display manufacturing apparatus |
US7189491B2 (en) * | 2003-12-11 | 2007-03-13 | Az Electronic Materials Usa Corp. | Photoresist composition for deep UV and process thereof |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050220568A1 (en) * | 2004-03-31 | 2005-10-06 | Tokyo Electron Limited | Method and system for fastening components used in plasma processing |
US20050223983A1 (en) * | 2004-04-08 | 2005-10-13 | Venkat Selvamanickam | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223984A1 (en) * | 2004-04-08 | 2005-10-13 | Hee-Gyoun Lee | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
EP1738251A2 (en) * | 2004-04-16 | 2007-01-03 | Cascade Basic Research Corp. | Modelling relationships within an on-line connectivity universe |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
US20060011582A1 (en) * | 2004-07-14 | 2006-01-19 | Savas Stephen E | Fast isotropic etching system and process for large, non-circular substrates |
US20060011139A1 (en) * | 2004-07-16 | 2006-01-19 | Applied Materials, Inc. | Heated substrate support for chemical vapor deposition |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
TWI287279B (en) * | 2004-09-20 | 2007-09-21 | Applied Materials Inc | Diffuser gravity support |
US7387811B2 (en) * | 2004-09-21 | 2008-06-17 | Superpower, Inc. | Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD) |
US7584714B2 (en) * | 2004-09-30 | 2009-09-08 | Tokyo Electron Limited | Method and system for improving coupling between a surface wave plasma source and a plasma space |
US20060075970A1 (en) * | 2004-10-13 | 2006-04-13 | Guenther Rolf A | Heated substrate support and method of fabricating same |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US20060105114A1 (en) * | 2004-11-16 | 2006-05-18 | White John M | Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs |
KR20060076714A (ko) * | 2004-12-28 | 2006-07-04 | 에이에스엠지니텍코리아 주식회사 | 원자층 증착기 |
KR100634451B1 (ko) * | 2005-01-10 | 2006-10-16 | 삼성전자주식회사 | 반도체 소자 제조 장치 |
US20060177772A1 (en) * | 2005-02-10 | 2006-08-10 | Abdallah David J | Process of imaging a photoresist with multiple antireflective coatings |
US7430986B2 (en) * | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US20060213617A1 (en) * | 2005-03-25 | 2006-09-28 | Fink Steven T | Load bearing insulator in vacuum etch chambers |
US20060228490A1 (en) * | 2005-04-07 | 2006-10-12 | Applied Materials, Inc. | Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems |
KR100629358B1 (ko) * | 2005-05-24 | 2006-10-02 | 삼성전자주식회사 | 샤워 헤드 |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
US20070021935A1 (en) * | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
JP2007042744A (ja) * | 2005-08-01 | 2007-02-15 | Sharp Corp | プラズマ処理装置 |
US7429718B2 (en) * | 2005-08-02 | 2008-09-30 | Applied Materials, Inc. | Heating and cooling of substrate support |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070138134A1 (en) * | 2005-12-19 | 2007-06-21 | Chuan-Han Hsieh | Etching apparatus and etching method |
US8216374B2 (en) | 2005-12-22 | 2012-07-10 | Applied Materials, Inc. | Gas coupler for substrate processing chamber |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
KR100738876B1 (ko) * | 2006-02-21 | 2007-07-12 | 주식회사 에스에프에이 | 평면디스플레이용 화학 기상 증착장치 |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7645710B2 (en) | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7678710B2 (en) | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
KR100711184B1 (ko) * | 2006-03-27 | 2007-04-24 | 주식회사 마이크로텍 | 샤워헤드 브래킷 |
US7743731B2 (en) * | 2006-03-30 | 2010-06-29 | Tokyo Electron Limited | Reduced contaminant gas injection system and method of using |
US20070254112A1 (en) * | 2006-04-26 | 2007-11-01 | Applied Materials, Inc. | Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
JP4800845B2 (ja) * | 2006-05-30 | 2011-10-26 | 積水化学工業株式会社 | プラズマ処理装置 |
TWI435376B (zh) | 2006-09-26 | 2014-04-21 | Applied Materials Inc | 用於缺陷鈍化之高k閘極堆疊的氟電漿處理 |
CN101528973B (zh) * | 2006-10-24 | 2012-04-25 | 应用材料公司 | 用于原子层沉积的涡流室盖 |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
CN101205605B (zh) * | 2006-12-18 | 2012-01-11 | 东京毅力科创株式会社 | 用于热增强和等离子体增强气相沉积的装置及操作方法 |
US7993457B1 (en) * | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US7988875B2 (en) * | 2007-02-08 | 2011-08-02 | Applied Materials, Inc. | Differential etch rate control of layers deposited by chemical vapor deposition |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
DE102007022431A1 (de) * | 2007-05-09 | 2008-11-13 | Leybold Optics Gmbh | Behandlungssystem für flache Substrate |
US20080279658A1 (en) * | 2007-05-11 | 2008-11-13 | Bachrach Robert Z | Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory |
KR20080100057A (ko) * | 2007-05-11 | 2008-11-14 | 주성엔지니어링(주) | 결정질 실리콘 태양전지의 제조방법과 그 제조장치 및시스템 |
US20080292433A1 (en) * | 2007-05-11 | 2008-11-27 | Bachrach Robert Z | Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory |
US7496423B2 (en) * | 2007-05-11 | 2009-02-24 | Applied Materials, Inc. | Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots |
US20080302303A1 (en) * | 2007-06-07 | 2008-12-11 | Applied Materials, Inc. | Methods and apparatus for depositing a uniform silicon film with flow gradient designs |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US7875486B2 (en) | 2007-07-10 | 2011-01-25 | Applied Materials, Inc. | Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning |
US20090071406A1 (en) * | 2007-09-19 | 2009-03-19 | Soo Young Choi | Cooled backing plate |
US8152954B2 (en) * | 2007-10-12 | 2012-04-10 | Lam Research Corporation | Showerhead electrode assemblies and plasma processing chambers incorporating the same |
US8187414B2 (en) * | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8043470B2 (en) * | 2007-11-21 | 2011-10-25 | Lam Research Corporation | Electrode/probe assemblies and plasma processing chambers incorporating the same |
CN101911840B (zh) * | 2007-12-25 | 2013-04-17 | 应用材料公司 | 用于等离子体室的电极的非对称性射频驱动装置 |
CN101933402B (zh) * | 2008-01-31 | 2013-03-27 | 应用材料公司 | 用于等离子体腔室的电极的多相射频电源 |
JP5230225B2 (ja) * | 2008-03-06 | 2013-07-10 | 東京エレクトロン株式会社 | 蓋部品、処理ガス拡散供給装置、及び基板処理装置 |
US9484213B2 (en) | 2008-03-06 | 2016-11-01 | Tokyo Electron Limited | Processing gas diffusing and supplying unit and substrate processing apparatus |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
JP5271586B2 (ja) * | 2008-04-09 | 2013-08-21 | 東京エレクトロン株式会社 | プラズマ処理容器およびプラズマ処理装置 |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
KR101555955B1 (ko) | 2008-06-19 | 2015-09-25 | 주성엔지니어링(주) | 기판형 태양전지의 제조방법 |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8449679B2 (en) | 2008-08-15 | 2013-05-28 | Lam Research Corporation | Temperature controlled hot edge ring assembly |
KR20110074854A (ko) * | 2008-08-28 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치 |
TWI475708B (zh) * | 2008-09-01 | 2015-03-01 | Applied Materials Inc | 利用流量梯度設計以沉積均勻矽膜之方法與設備 |
US20100112212A1 (en) * | 2008-10-31 | 2010-05-06 | Applied Materials, Inc. | Adjustable gas distribution apparatus |
CN102365906B (zh) * | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
JP5262878B2 (ja) * | 2009-03-17 | 2013-08-14 | 東京エレクトロン株式会社 | 載置台構造及びプラズマ成膜装置 |
US20100252047A1 (en) * | 2009-04-03 | 2010-10-07 | Kirk Seth M | Remote fluorination of fibrous filter webs |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
KR101059064B1 (ko) | 2009-06-08 | 2011-08-24 | 주식회사 테스 | 대면적 가스분사장치 |
SG169960A1 (en) | 2009-09-18 | 2011-04-29 | Lam Res Corp | Clamped monolithic showerhead electrode |
KR200476124Y1 (ko) * | 2009-09-29 | 2015-01-30 | 어플라이드 머티어리얼스, 인코포레이티드 | Rf전력공급 샤워헤드를 위한 편심 접지 복귀 |
JP3160877U (ja) | 2009-10-13 | 2010-07-15 | ラム リサーチ コーポレーションLam Research Corporation | シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極 |
US20110097489A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
TWI500804B (zh) * | 2009-11-17 | 2015-09-21 | Applied Materials Inc | 具有電極rf匹配之大面積電漿處理腔室 |
TWI485799B (zh) * | 2009-12-10 | 2015-05-21 | Orbotech Lt Solar Llc | 自動排序之直線型處理裝置 |
TWI369251B (en) * | 2010-02-01 | 2012-08-01 | Ind Tech Res Inst | Gas distribution module and gas distribution scanning apparatus using the same |
US9850576B2 (en) * | 2010-02-15 | 2017-12-26 | Applied Materials, Inc. | Anti-arc zero field plate |
CN102834930A (zh) | 2010-03-30 | 2012-12-19 | 应用材料公司 | 在扩散p型区域上方形成负电荷钝化层的方法 |
JP6104157B2 (ja) * | 2010-05-21 | 2017-03-29 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 大面積電極にぴったりと嵌合されたセラミックス絶縁体 |
JP5045786B2 (ja) * | 2010-05-26 | 2012-10-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8721791B2 (en) | 2010-07-28 | 2014-05-13 | Applied Materials, Inc. | Showerhead support structure for improved gas flow |
EP2426737A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
KR101009506B1 (ko) * | 2010-09-08 | 2011-01-18 | 주식회사 아키덤엔지니어링건축사사무소 | 전주용 완금밴드장치 |
EP2439792A1 (en) | 2010-10-05 | 2012-04-11 | Applied Materials, Inc. | Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8470127B2 (en) * | 2011-01-06 | 2013-06-25 | Lam Research Corporation | Cam-locked showerhead electrode and assembly |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
WO2012113441A1 (en) | 2011-02-21 | 2012-08-30 | Applied Materials, Inc. | Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9441296B2 (en) | 2011-03-04 | 2016-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US20120231181A1 (en) * | 2011-03-09 | 2012-09-13 | Applied Materials, Inc. | Insulation coverage of cvd electrode |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
EP2523227A1 (en) | 2011-05-13 | 2012-11-14 | Applied Materials, Inc. | Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
KR101441478B1 (ko) * | 2012-07-09 | 2014-09-17 | 주식회사 에스에프에이 | 평면디스플레이용 화학 기상 증착장치 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
JP2014049529A (ja) * | 2012-08-30 | 2014-03-17 | Tokyo Electron Ltd | プラズマ処理装置及び金属の酸化膜を洗浄する方法 |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
KR102193652B1 (ko) * | 2013-03-11 | 2020-12-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 고온 공정 챔버 리드 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10426001B2 (en) * | 2013-03-15 | 2019-09-24 | Tokyo Electron Limited | Processing system for electromagnetic wave treatment of a substrate at microwave frequencies |
US9353439B2 (en) | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) * | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR101855654B1 (ko) * | 2016-12-23 | 2018-05-08 | 주식회사 테스 | 대면적 샤워헤드 어셈블리 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN113056572B (zh) * | 2018-11-16 | 2023-09-05 | 株式会社爱发科 | 真空处理装置 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN113261390B (zh) * | 2019-01-07 | 2024-06-14 | 株式会社爱发科 | 真空处理装置 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US11901162B2 (en) | 2019-01-07 | 2024-02-13 | Ulvac, Inc. | Vacuum processing apparatus and method of cleaning vacuum processing apparatus |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102700366B1 (ko) | 2019-01-29 | 2024-08-30 | 주성엔지니어링(주) | 샤워헤드 및 이를 포함하는 기판처리장치 |
JP7316863B2 (ja) * | 2019-07-19 | 2023-07-28 | 東京エレクトロン株式会社 | 第一導電性部材と第二導電性部材の接合構造と接合方法、及び基板処理装置 |
CN112192154A (zh) * | 2020-09-30 | 2021-01-08 | 靖江先锋半导体科技有限公司 | 刻蚀机用气体喷淋盘的加工工艺 |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63187619A (ja) * | 1987-01-30 | 1988-08-03 | Fuji Xerox Co Ltd | プラズマcvd装置 |
US4854263B1 (en) | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
DE3729208C1 (de) * | 1987-08-29 | 1988-12-22 | Rotring Werke Riepe Kg | Schneidvorrichtung |
JP2837993B2 (ja) * | 1992-06-19 | 1998-12-16 | 松下電工株式会社 | プラズマ処理方法およびその装置 |
JPH06124960A (ja) * | 1992-10-13 | 1994-05-06 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
JPH0831421B2 (ja) * | 1992-10-14 | 1996-03-27 | ヒラノ光音株式会社 | プラズマ電極装置 |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5439524A (en) * | 1993-04-05 | 1995-08-08 | Vlsi Technology, Inc. | Plasma processing apparatus |
US5647911A (en) | 1993-12-14 | 1997-07-15 | Sony Corporation | Gas diffuser plate assembly and RF electrode |
AU2764095A (en) | 1994-06-03 | 1996-01-04 | Commissariat A L'energie Atomique | Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
TW335517B (en) * | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5844205A (en) * | 1996-04-19 | 1998-12-01 | Applied Komatsu Technology, Inc. | Heated substrate support structure |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5989652A (en) | 1997-01-31 | 1999-11-23 | Tokyo Electron Limited | Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications |
US6093645A (en) | 1997-02-10 | 2000-07-25 | Tokyo Electron Limited | Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation |
US5994678A (en) * | 1997-02-12 | 1999-11-30 | Applied Materials, Inc. | Apparatus for ceramic pedestal and metal shaft assembly |
US5968276A (en) * | 1997-07-11 | 1999-10-19 | Applied Materials, Inc. | Heat exchange passage connection |
JP3480271B2 (ja) | 1997-10-07 | 2003-12-15 | 東京エレクトロン株式会社 | 熱処理装置のシャワーヘッド構造 |
KR20010032205A (ko) | 1997-11-17 | 2001-04-16 | 래리 디. 맥밀란 | 박막의 안개화퇴적방법 및 장치 |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6302057B1 (en) * | 1998-09-15 | 2001-10-16 | Tokyo Electron Limited | Apparatus and method for electrically isolating an electrode in a PECVD process chamber |
US6123775A (en) * | 1999-06-30 | 2000-09-26 | Lam Research Corporation | Reaction chamber component having improved temperature uniformity |
US6254742B1 (en) * | 1999-07-12 | 2001-07-03 | Semitool, Inc. | Diffuser with spiral opening pattern for an electroplating reactor vessel |
US6364949B1 (en) * | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6170432B1 (en) * | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
JP3501715B2 (ja) * | 2000-03-21 | 2004-03-02 | シャープ株式会社 | プラズマプロセス装置 |
US6857387B1 (en) * | 2000-05-03 | 2005-02-22 | Applied Materials, Inc. | Multiple frequency plasma chamber with grounding capacitor at cathode |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
-
2000
- 2000-01-20 US US09/488,612 patent/US6477980B1/en not_active Expired - Lifetime
-
2001
- 2001-01-16 TW TW090100980A patent/TW477830B/zh not_active IP Right Cessation
- 2001-01-17 DE DE60125608T patent/DE60125608T2/de not_active Expired - Lifetime
- 2001-01-17 EP EP01300380A patent/EP1118693B1/en not_active Expired - Lifetime
- 2001-01-19 SG SG200100299A patent/SG87200A1/en unknown
- 2001-01-19 KR KR1020010003198A patent/KR100737228B1/ko active IP Right Grant
- 2001-01-22 JP JP2001013825A patent/JP4430253B2/ja not_active Expired - Lifetime
-
2002
- 2002-11-12 US US10/293,544 patent/US6823589B2/en not_active Expired - Lifetime
-
2003
- 2003-12-05 US US10/729,565 patent/US7017269B2/en not_active Expired - Lifetime
-
2005
- 2005-02-28 KR KR1020050016708A patent/KR20050033573A/ko not_active Application Discontinuation
-
2006
- 2006-11-02 KR KR1020060108003A patent/KR100882072B1/ko active IP Right Grant
- 2006-11-02 KR KR1020060108004A patent/KR100802682B1/ko active IP Right Grant
-
2008
- 2008-11-17 KR KR1020080113938A patent/KR20080108208A/ko not_active Application Discontinuation
-
2010
- 2010-02-11 KR KR1020100012871A patent/KR20100033988A/ko not_active Application Discontinuation
- 2010-12-14 KR KR1020100127659A patent/KR101287100B1/ko active IP Right Grant
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100967612B1 (ko) * | 2008-07-09 | 2010-07-05 | 주식회사 메카로닉스 | 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치 |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
WO2014035096A1 (ko) * | 2012-08-28 | 2014-03-06 | 주식회사 유진테크 | 기판처리장치 |
KR101468541B1 (ko) * | 2012-10-25 | 2014-12-04 | 주식회사 에스에프에이 | 가스 분배 조립체 |
Also Published As
Publication number | Publication date |
---|---|
KR20060122798A (ko) | 2006-11-30 |
EP1118693B1 (en) | 2007-01-03 |
KR101287100B1 (ko) | 2013-07-17 |
TW477830B (en) | 2002-03-01 |
US6823589B2 (en) | 2004-11-30 |
US6477980B1 (en) | 2002-11-12 |
DE60125608D1 (de) | 2007-02-15 |
US20040118345A1 (en) | 2004-06-24 |
EP1118693A2 (en) | 2001-07-25 |
KR100882072B1 (ko) | 2009-02-10 |
KR20050033573A (ko) | 2005-04-12 |
JP4430253B2 (ja) | 2010-03-10 |
KR100737228B1 (ko) | 2007-07-09 |
US7017269B2 (en) | 2006-03-28 |
EP1118693A3 (en) | 2001-10-17 |
KR20010076391A (ko) | 2001-08-11 |
KR20110004343A (ko) | 2011-01-13 |
KR20100033988A (ko) | 2010-03-31 |
JP2001284271A (ja) | 2001-10-12 |
US20030066607A1 (en) | 2003-04-10 |
KR20080108208A (ko) | 2008-12-12 |
DE60125608T2 (de) | 2007-11-15 |
KR100802682B1 (ko) | 2008-02-12 |
SG87200A1 (en) | 2002-03-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100802682B1 (ko) | 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버 | |
KR100929455B1 (ko) | 플라즈마 챔버용의 현가형 가스 분배 매니폴드 | |
US7641762B2 (en) | Gas sealing skirt for suspended showerhead in process chamber | |
US7776178B2 (en) | Suspension for showerhead in process chamber | |
JP5489390B2 (ja) | プロセスチャンバ内のシャワーヘッド用サスペンション | |
JP4371442B2 (ja) | 反応チャンバにガスを供給する為の面板、および、反応チャンバ | |
US20040250955A1 (en) | RF current return path for a large area substrate plasma reactor | |
WO2000060653A1 (fr) | Dispositif de traitement au plasma, procede de maintenance et procede d'installation dudit dispositif | |
CN112233959A (zh) | 基板支承单元和包括其的基板处理系统 | |
JP7140525B2 (ja) | 真空処理装置 | |
KR102633111B1 (ko) | 기판지지대, 기판처리장치, 및 기판처리시스템 | |
TWI856820B (zh) | 基板支撐基座 | |
KR20240077235A (ko) | 냉각 플레이트 및 이를 포함하는 플라즈마 처리 챔버 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
AMND | Amendment | ||
J201 | Request for trial against refusal decision | ||
A107 | Divisional application of patent | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121227 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20131227 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20141230 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20151230 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20161229 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20180110 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20190102 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20200102 Year of fee payment: 12 |