KR20060067957A - 플라즈마 처리 환경에서 플라즈마 특성을 측정하기 위한센서 어레이 - Google Patents

플라즈마 처리 환경에서 플라즈마 특성을 측정하기 위한센서 어레이 Download PDF

Info

Publication number
KR20060067957A
KR20060067957A KR1020067003126A KR20067003126A KR20060067957A KR 20060067957 A KR20060067957 A KR 20060067957A KR 1020067003126 A KR1020067003126 A KR 1020067003126A KR 20067003126 A KR20067003126 A KR 20067003126A KR 20060067957 A KR20060067957 A KR 20060067957A
Authority
KR
South Korea
Prior art keywords
plasma
sensors
sensor
dfp
chamber
Prior art date
Application number
KR1020067003126A
Other languages
English (en)
Inventor
레오나르드 마호니
칼 더블유 암그렌
그레고리 에이 로쉬
윌리엄 디 스프로울
헨드릭 브이 웨일드
윌리엄 더블유 세일러
Original Assignee
어드밴스드 에너지 인더스트리즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 에너지 인더스트리즈 인코포레이티드 filed Critical 어드밴스드 에너지 인더스트리즈 인코포레이티드
Publication of KR20060067957A publication Critical patent/KR20060067957A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 플라즈마 처리 시스템은 플라즈마 특성을 현장 측정하기 위한 진단 장치를 구비한다. 상기 진단 장치는 일반적으로 플라즈마 처리 챔버 내에 배치된 비침투성 센서 어레이, 상기 센서를 자극하기 위한 전기 회로 및 플라즈마 처리의 관찰 또는 제어를 위해 센서 측정값의 저장과 전달을 위한 수단을 포함한다. 한 실시예에서, 상기 센서는 플라즈마 시스템 내의 플라즈마 경계 또는 경계들과 근접한 지역에서 입사하는 하전입자 전류와 전자 온도를 측정하는 동역학적으로 펄스된 이중 부유 랭뮤어 프로브이다. 상기 플라즈마 측정은 플라즈마 처리의 상태를 관찰하는데 사용될 수 있거나 플라즈마 처리를 제어하는데 사용하는 처리 시스템 제어기에 제공될 수 있다.
플라즈마 처리 시스템, 이중 부유 랭뮤어 프로브

Description

플라즈마 처리 환경에서 플라즈마 특성을 측정하기 위한 센서 어레이{Sensor array for measuring plasma characteristics in plasma processing enviroments}
본 발명은 일반적으로, 플라즈마 처리 시스템에 관한 것으로, 보다 구체적으로 플라즈마 처리 시스템에서 플라즈마 특성의 현장측정(in-situ measurements) 을 위한 장치와 측정 방법에 관한 것이다.
싱글-엔디드 랭뮤어 프로브(single-eneded Langmuir probes) 및 다른 진단 프로브 방법은 플라즈마, 보다 구체적으로 저압 진공 처리 시스템에 사용되는 플라즈마를 분석하기 위해 산업계에서 널리 사용되고 있다. 측정 기술은 스위프트와 쉬와의, Electric Probes for Plasma Diagnostics,(American Elsevier, New York, 1969) 및 헤르쉬코위츠의 Plasma Diagnostic, Vol. 1, Discharge Parameters and Chemistry, ed. Aucciello and Flamm(Academic, New York, 1989), 페이지. 113-1183를 포함하는 참조문헌에 개시되어 있다. 플라즈마 처리 환경을 관찰하기 위한 싱글-엔디드 랭뮤어 프로브 진단법은 플라즈마 분석에 일반적으로 필요한 프로브를 작동하기 위한 방법과 함께 공지되어 있다. 예를 들어, 스즈스제크윅츠 등(Szuszcewicz et al.)의 미국특허 제 4,006,404호는 샘플링 및 표면 오염과 관련된 문제들을 피하기 위해 펄스 변조를 통한 싱글-엔디드 랭뮤어 프로브의 여기를 다룬 다. 칼리에 등(Carlile et al.)의 미국특허 제 5,339,039호는 라디오 주파수(RF) 보상과 RF 파워 플라즈마 환경에서 작동하기 위한 동조 필터링(tuned-filtering)을 포함하는 싱글-엔디드 랭뮤어 프로브 시스템을 개시한다. 할의 미국특허 제 5,167,748호는 플라즈마의 상태를 관찰하여 처리 시스템 내의 플라즈마의 기하학적 배열을 제어하기 위해서 하전 입자 밀도와 전자 온도를 측정하는 하나 이상의 싱글-엔디드 랭뮤어 프로브의 사용을 개시한다.
보다 최근에는, 저압 반도체 처리 챔버와 같은 플라즈마계 처리 시스템의 여러 구성요소 상의 진단 프로브 어셈블리들이 개시되고 있다. 이런 연구의 예는 로우렌하트(Loewenhardt et al.) 등의 미국특허 제 5,451,784호이고, 여기서 플라즈마 프로브와 이온 에너지 분석기는 대상물 표면에 인접한 플라즈마 특성을 분석하기 위해 플라즈마 처리 시스템 속에 배치된 복합 진단 웨이퍼에 포함된다. 유사하게는, 케 등의(Ke et al.) 미국특허 제 5,989,349호는 플라즈마와 DC 바이어스 전위로부터의 이온 전류를 관찰하기 위해서 반도체 웨이퍼 처리 진단용 받침대에 포함된 평면 프로브의 사용을 개시한다. 히코사카 등(Hikosaka et al.)의 미국특허 제 5,471,115호는 PR 파워, 기체 흐름 또는 작동 압력을 조절할 수 있는 주요 제어 시스템에 정보를 제공할 목적으로, 플라즈마에서 절대 전자 밀도를 측정하는 고주파수 플라즈마 진동 프로브를 사용하여 플라즈마 특성을 측정하기 위한 방법을 개시한다. 또한, 부스(Booth) 등의 미국특허 제 5,936,413호는 증착 및 프로브 표면 오염 및 처리 플라즈마의 파열을 피하면서 플라즈마 특성을 얻기 위해 RF 전압 파형으로 여기된 용량성으로 절연된, 싱글-엔디드 평면 프로브의 사용을 개시한다.
상기한 모든 기술들은 상업용 플라즈마 처리 시스템 내의 플라즈마 상태의 실시간 측정결과를 얻는데 있어서 이들의 유효성을 제한하는 한계점들을 가진다. 상기한 많은 측정 장치는 플라즈마 바디 속에 돌출되어, 재료들을 처리할 때 플라즈마 특성을 본질적으로 붕괴하는 프로브의 사용을 필요로 하는 점에서 침입적이다. 또한, 임의의 싱글-엔디드 프로브를 사용하는 것은 침입적이고, 특히 프로브가 플라즈마 전위에서 또는 근처에서 순방향으로 바이어스되어, 처리하는 동안 플라즈마 바디의 전기적 구조를 필수적으로 붕괴하는 상태를 초래할 때 침입적이다. 상기한 많은 기술은 비부식성 또는 비증착성 플라즈마의 실험적 분석용이고, 상업적 처리 조건하에서 플라즈마 측정값을 수집하려는 것은 아니다. 마지막으로, 상기 교시들은 일반적으로 플라즈마 특성을 관찰하는 단일 지점(single-point) 방법만을 제공하고, 경계에서 처리 플라즈마의 전체적 또는 공간적 특성을 결정하는 수단을 제공하지 않는다.
본 발명은 플라즈마 처리 시스템에서 플라즈마 특성의 제위치 측정을 위한 장치 및 측정 방법을 제공한다. 진단 장치는 실질적으로 침입적이지 않고, 처리 플라즈마의 특성을 관찰하기 위한 넓은 범위의 필요를 수행하고 처리 제어에 필요한 방식으로 플라즈마 특성에 대한 데이터를 제공하도록 제조될 수 있다. 일반적으로 상기 장치는 플라즈마 처리 챔버 내에 배치된 물리적 센서 어레이, 센서를 자극하는 전기 회로, 및 플라즈마 처리의 관찰 또는 제어를 위해 센서 측정값을 저장하고 전달하는 수단을 포함한다.
본 발명의 한 실시예에서, 이중 부유 랭뮤어 프로브(dual floating Langmuir probe(DFP)) 센서의 어레이는 플라즈마 처리 시스템 내에 배치된다. 상기 DFP 센서는 플라즈마 시스템 내의 플라즈마 경계 또는 경계들과 근접한 지역에서 입사하는 하전 입자 전류와 전자 온도를 측정한다. 상기 DFP 센서는 플라즈마 매질의 최소의 동요를 일으키도록 플라즈마 전위에 대해 정전기적으로 부동된다. 그 결과, 측정 장치는 플라즈마 처리에 대해 비침입적이다. 본 발명의 센서 어레이는, 예를 들어, 플라즈마 최적 방출 분광 및 입자 광산란을 측정하기 위한 광센서; 흡수 분광을 위한 광전센서; 벽 온도 및 표면 온도 화학적 촉매적 감지를 위한 열센서; 압력, 피막 두께 및 증착 속도를 측정하기 위한 표면 음향파 센서; 및 촉감 화학적 감지, 질량분석법, 이온 에너지 및 진동 측정을 위한 마이크로-전기기계적 시스템을 포함할 수 있다.
본 발명의 한 실시예에서, 상기 진단 센서는 진공 처리 시스템의 챔버 라이너의 일부를 따라 배치된다. 다른 실시예에서, 센서 어레이는 챔버의 유전체 절연 고리(또는 "초점 고리"), 기체 주입 고리 주위에 배치될 수 있거나 기판 홀더 또는 "척(chuck)"의 일부 위에 배치될 수 있다. 또한 상기 센서는 챔버의 벽 내에 배치될 수 있다. 상기 센서 장치는 수리 또는 교체를 위해 구동 소자 및 전기 관통콘덴서(electrical feed-throughs)로부터 제거되고 분리될 수 있도록 제조된다.
센서들의 어레이를 사용함으로써, 본 발명은 이온 전류 유량과 유효 전자 온도와 같은 진단 데이터를 플라즈마 바디의 경계 주위의 여러 위치로부터 얻을 수 있는 능력을 제공한다. 또한 본 발명은 플라즈마 바디의 여러 경계들 주위의 처리 플라즈마의 경계특성을 관찰하는 능력을 제공한다. 이런 방식으로, 본 발명은 플라즈마의 전체적 또는 공간적 특성을 유추하는데 사용될 수 있는 데이터를 수집하는데 제공된다.
본 발명의 진단 센서는 일반적으로 에칭 공정과 같은 부식성의 화학적 공격을 막기 위해 챔버 내에 보호층이 필요한 경우 또는 증착 공정과 같이 광학적으로 불투명하거나 전기적으로 절연된 피막 또는 코팅이 형성되는 경우의 플라즈마 처리 시스템에 사용하기에 적합하다. 센서의 상부에 코팅 또는 증착 피막의 형태로 유전층이 존재하더라도, 상기 센서는 플라즈마 특성을 측정할 때 플라즈마의 전기적 환경과 용량성으로 결합되어 있다. 따라서, 본 발명은 에칭, 플라즈마-화학기상증착(plasma-enhanced chemical vapor deposition), 반응성 스퍼터 증착 및 전도성 스퍼터 증착을 포함하는 다양한 플라즈마 처리 시스템에서 사용하는데 적합할 수 있다.
본 발명의 한 실시예에서, 멀티-채널(멀티플렉스) 회로는 센서들의 어레이에 전기적 자극을 제공한다. 상기 센서는 사인곡선 신호, 양극 펄스 신호 또는 작은 진폭의 톱날 신호와 같은 다양한 교류 여기 파형에 의해 구동될 수 있다. 상기 센서는 직접 자극될 수 있거나 또는 용량성 또는 트렌스가 절연된 전기적 연결(transformer-isolated electrical connection)을 통해서 자극될 수 있다. 본 발명의 실시예는 센서의 멀티플렉싱을 제어하는 수단 및 진단 측정값을 변형시킬 수 있는 플라즈마로부터 발생된 원치않는 RF 신호를 걸러내는 수단을 포함한다.
또한 본 발명은 펄스 RF 또는 펄스 DC 전원을 사용하는 플라즈마 처리 시스템에 진단 데이터를 얻을 수 있는 능력을 제공한다. 본 발명의 한 실시예에서, 센서로부터 데이터의 자극 및 획득은 플라즈마에 동력을 공급하는 RF 전원의 주기적 펄싱과 연결되거나 게이트에서 조절된다. 플라즈마 특성의 측정은 RF 펄스의 온-타임 및 오프-타임 모두에서 얻을 수 있다. 이런 방식으로, 예를 들어, 하전 입자 밀도 증가와 감소 시간과 같은 플라즈마 소스 시스템의 시간 상수가 유추될 수 있다. 본 발명의 다른 실시예에서, 센서의 자극과 판독은 플라즈마에 동력을 공급하는 DC 전원의 주기적 펄싱과 연결되거나 게이트에서 조절된다. 이런 경우에, 측정은 일반적으로 DC-펄스 플라즈마 작동과 관련된 "-DC 온-타임" 또는 "+DC 리버스-타임" 동안 얻을 수 있다. 펄스 RF와 펄스 DC 시스템 모두의 경우에, 하전 입자 밀도의 동역학, 겉보기 전자 에너지 및 다양한 플라즈마 시스템 시간 상수가 감지될 수 있다.
본 발명의 센서 어레이 및 다른 장치는 실시간으로 처리 플라즈마의 상태를 관찰하고 플라즈마 처리 시스템 및 이의 서브시스템의 제어를 위한 감각 피드백을 가능하게 하는데 충분한 속도와 민감성을 측정하는데 유용하다. 본 발명에 의해 제공된 한 방법에서, 센서 어레이의 신호들은 행렬로 기록되고 저장되고, 행렬의 각 요소는 어레이의 특정 센서 쌍과 관련된 정보를 나타낸다. 행렬의 항들은 플라즈마 처리의 실시간 관찰 또는 외부 제어 시스템의 작용을 통한 실시간 피드백을 위해 필요할 수 있는 플라즈마의 공간 특성을 결정하기 위해 평가될 수 있다.
본 발명은 어떤 형태의 저압 플라즈마계 처리 시스템에 응용할 수 있다. 실시예는 임의의 IC 웨이퍼 처리 시스템, 단일 또는 다중 메모리 디스크 코팅 시스템, 광전기적 처리 시스템, 정지 또는 이동 유리 세척, 에칭 또는 코팅 시스템, 웹 코팅 또는 표면 처리 시스템, 또는 작업 기체 또는 대상물을 플라즈마로 처리하는 임의의 플라즈마 처리 시스템을 포함한다. 또한, 본 발명은 기능이 시스템 속에 배치된 플라즈마를 통해 기체를 여기하는 것인 처리 시스템 또는 UV광과 같은 방사성 광자 방출을 일으킬 목적의 처리 시스템에 사용된다.
도 1은 본 발명의 한 실시예에 따른 센서 장치를 포함하는 플라즈마계 제조 처리 챔버의 간단한 단면도이다.
도 2는 플라즈마 챔버의 다양한 구성요소 주위에 배치된 이중 부유 랭뮤어 프로브의 어레이를 포함하는 본 발명의 실시예에 따른 센서 장치를 도시한다.
도 3은 플라즈마 챔버 주위 및 전기적으로 바이어스될 수 있는 웨이퍼 홀더 위에 배치된 이중 부유 랭뮤어 프로브의 어레이를 포함하는 본 발명의 실시예에 따른 센서 장치를 도시한다.
도 4는 플라즈마 및 전압 바이어스 신호에 노출될 때 전형적인 전류-전압 반응 곡선을 갖는 이중 부유 프로브(DFP) 구조를 도시한다.
도 5는 본 발명의 일부 실시예에 따른 DFP 센서로부터 플라즈마 전류를 얻기 위한 회로와 센서의 전형적인 전류 반응을 개략적으로 도시한다.
도 6a은 도체 전극으로 제조한 DFP 소자의 단면도이다.
도 6b는 전극으로 제조되고 절연 표면 또는 전기적으로 절연된 증착된 코팅 또는 피막을 구비한 DFP 소자의 단면도이다.
도 6c는 전극으로 제조되고 스퍼트되거나 이온빔 증착된 코팅 또는 피막의 가시선 증착(line-of-sight deposition)을 제어하기 위해 마스크된 DFP 소자의 단면도이다.
도 7은 DFP 센서가 중앙 펄스 회로, 데이터 획득 회로, 마이크로프로세서, 메모리, 전원 시스템 및 외부 통신수단에 다중통신되는 본 발명의 실시예의 개략적인 전기 구조체를 도시한다.
도 8은 센서 어레이에 주위에서 견본으로 한 가까운 지역 DFP 쌍과 먼 지역 DFP 쌍으로 제조한 전류 측정값의 행렬을 도시한다.
도 9는 제어된 DFP 센서 신호가 플라즈마 상태를 관찰 또는 제어하는데 사용되는 본 발명의 실시예에 따른 웨이퍼 플라즈마 시스템을 도시한다.
도 10은 펄스 기간 내에 DFP 센서의 동시 샘플링에 의해 측정될 수 있는 플라즈마 특성의 동역학을 나타내는 본 발명의 실시예에 따른 펄스 RF 플라즈마 처리 시스템의 전형적인 파형을 도시한다.
도 11은 DFP 센서의 동시 샘플링에 의해 측정될 수 있는 플라즈마 특성을 나타내는 본 발명의 실시예에 따른 펄스 RF 플라즈마 처리 시스템의 전형적인 파형을 도시한다.
도 12는 동시 측정을 위한 본 발명의 실시예에 따른 펄스 RF 또는 펄스 DC 플라즈마 시스템에서 신호를 유발하거나 게이팅하는 예들을 도시한다.
도 13은 제어된 DFP 센서 신호가 마그네트론 스퍼터링 반응 증착 공정을 관찰 또는 제어하는데 사용되는 본 발명의 실시예에 따른 플라즈마 처리 시스템을 도 시한다.
도 1은 플라즈마 프로세싱 시스템(14)의 내부 경계 주변에서 이중 부유 Langmuir 프로브(DFP) 센서들(11)의 어레이들(12)을 통하여 흐르는 입력 플라즈마 전류의 실시간 측정을 수행할 수 있는 장치(10)의 일 실시예를 도시한다. 이 특정 실시예에서, 두 개의 센서 어레이들(12)은 챔버 라이너(18)를 따라 배치된 DFP 센서들의 일 어레이 및 소위 "포커스 링" 또는 절연 격리 링(20)을 따라 배치된 DFP 센서의 제2 어레이와 함께 도시된다. 상기 프로세싱 시스템(14)은 진공 프로세싱 챔버(16), 펌핑 분기관(分岐管)(22), 상기 진공 챔버(16)의 위에 탑재되거나 진공 챔버(16)에 연결된 플라즈마 소스(24), 가스 이송 분기관 또는 가스 슈라우드(미도시), 가스관, 플라즈마 소스 및 시스템에 연결된 온도 관리 및 전력 공급기(28), 및 웨이퍼 또는 가공물 척(chuck) 또는 설치대(30)를 포함한다. 반도체 프로세싱 시스템의 경우에, 가공물 척은 고정 수단, RF 전력, 액체 냉각제, 리프팅 핀 어셈블리, 및 헬륨 배면 열전도 설비(32)를 포함할 수 있다. 거기에는 또한 웨이퍼 또는 가공물(36)을 조작하고 위치시키기 위한 기계적 로봇 기술(34)과 결합한 로드 락 챔버가 포함될 수 있다. 실제로, 장치(10)의 센서들은 프로세싱 챔버(16) 내에 실제로 존재하는 웨이퍼 또는 가공물(36)과 함께 또는 웨이퍼 또는 가공물(36) 없이 사용될 것이다.
DFP 센서 어레이들의 출력들(38 및 40)은 유도 전자 장치, 멀티플렉서, 데이터 획득 및 장치를 제어하는 마이크로프로세서를 수용하고 있는 외부 전자 서브시 스템(42)에 연결된다. 전자 서브시스템(42)의 출력(44)은 다음으로 중앙 프로세서 또는 컴퓨터(46)에 연결된다. DFP 센서 장치가 실제 프로세스 동안 동작될 수 있기 때문에, 중앙 프로세서 또는 컴퓨터(46)는 프로세스 상태를 모니터링하고 실시간 피드백(feedback) 및 제어 신호를 플라즈마 프로세싱 시스템의 다양한 입력들에 제공하기 위하여 상기 장치로부터의 데이터를 사용할 수 있다. 전자 서브시스템(42)은 개별 박스된, 전자 하드웨어 또는 컴퓨터(48)의 다른 부분에 연결되는 특정 마이크로전자 확장 카드일 수 있다. 저압(<100 토르) 기체의 방전 또는 플라즈마(50)가 프로세싱 챔버 내에서 연소될 때, 장치는 로컬 DFP 센서 패드 쌍(11)들 사이에 흐르는 DFP 전류 또는 선택적으로, 내부 챔버(16) 주변의 센서 어레이 어셈블리들(12)에 의해 둘러싸인 임의 조합 내의 로컬이 아닌 쌍들 사이에 흐르는 DFP 전류를 감지하기 위하여 사용된다. 설명될 바와 같이, DFP 센서들(11)은 외부 전자 장치(42)로부터 바이폴라 펄스 여기(勵起)에 직접 또는 용량적으로 결합될 수 있고, 심지어 절연 코팅이 플라즈마 프로세스에서 DFP 센서의 표면에 증착된 경우에도 공정으로부터 동작될 수 있다.
도 2는 플라즈마 프로세싱 챔버(16)의 프로세싱 영역에 배치된 DFP 센서 어레이(12)의 일 실시예를 도시하는 평면도 및 단면도이다. 도 2에서, 반도체 웨이퍼(36)는 기판 척(30)의 프로세스 챔버(16) 내에 배치된다. 플라즈마를 발생시키고 유지하는 플라즈마 소스(미도시) DC 플라즈마 소스, DC- 또는 RF-여자된 속이 빈 음극 플라즈마 소스, 음극-아크 플라즈마 소스, 마그네트론 스퍼터링 소스, 격자 또는 격자가 아닌 광역 이온 소스, 용량적으로 구동된 전극에 의하여 동작되는 플 라즈마 소스, 유도 결합된 또는 페라이트 기반으로 유도 결합된 플라즈마 소스, 전자 사이클로트론 공진 마이크로파 플라즈마 소스, 표면파 플라즈마 소스, 또는 RF 헬리콘 플라즈마 소스를 포함하는 임의의 형태일 수 있다.
도 2의 실시예에서, DFP 어레이들(12)은 기판 홀더(30)를 둘러싸는 절연 링(20) 내에 설치될 뿐 아니라 챔버 라이너(18) 상에 모두 배치되는 것으로 도시된다. 이 링은 웨이퍼 또는 가공물의 가장자리 주변의 플라즈마 특성과 프로세스 가스 흐름 동력을 제한한다는 점에서 때때로 "포커스 링"으로 불린다. DFP 센서들은 전기적으로 절연되고, 진공 챔버 외부로 확장된 연결들(38 및 40)에 의해 진공-밀폐된다. DFP 프로브 연결들은 프로브들(11)이 라이너(18) 상의 임의의 다른 부유 표면 또는 포커스 링(20) 표면들과 유사한 방식으로 RF 또는 AC 장의 변화를 따르도록 하기 위하여 사용되는 수동 FR 공진 초크 필터링 소자(미도시)를 포함할 수 있다. 이 방식에서, 비록 DFP 센서들은 플라즈마 소스(또는 플라즈마 본체) 및 플라즈마 프로세싱 챔버(16) 내에서 처리되는 웨이퍼 또는 가공물(36)에 적절하게 가까운 곳에 있는 것이 바람직함에도 불구하고, DFP 센서들(12)의 임의의 어레이는 챔버 경계 내부에 공간적으로 구성될 수 있다.
본 발명에 따른 다른 실시예가 도 3에 도시되는데, 여기서 하나의 센서 어레이(12)가 웨이퍼 또는 가공물(36)의 척 또는 홀더(holder)(30)에 결합될 수 있다. 척(30) 상에서 DFP 어레이로의 연결들(52)은 척 본체를 통하여 라우트된다. 적절한 RF 필터링(바람직하게는 RF-공진 초크 및 저역 통과 필터링) 방법이 어레이(52)로의 연결에 일렬로 적절하게 통합되고, DFP 어레이(12)는 심지에 웨이퍼 홀더(30)가 RF 바이어스에 의하여 구동될 때, 다양한 반도체 또는 재료 프로세싱 방법에서 요구되는 바와 같이 기능할 수 있다. 도 3은 또한 웨이퍼 또는 가공물 척 상에 배치된 어레이에 직렬로 사용될 수 있는 챔버 라이너(18) 상의 2차 DFP 어레이를 도시한다.
플라즈마 본체의 경계에 대한 플라즈마 변수의 인식, 및 그들의 공간적 및 시간적 변화는 플라즈마-기반 프로세스들의 동작을 이해하는데 도움을 준다. 이온 전류 흐름, 충전된 입자 밀도, 전자 에너지(또는 피상 전자 온도) 및 이온 에너지와 같은 플라즈마 파라미터들은 표면 변조, 증착 또는 에칭의 비율 및 질에 직접 영향을 주는 플라즈마의 물리적 파라미터들이다. 본 발명의 일 실시예에서, 이중 부유 Langmuir 프로브(DFP)는 플라즈마의 물리적 파라미터들을 수집하기 위하여 사용된다. DFP는 전기적 공통 또는 접지로부터 전기적으로 부유하도록 허용되는 두 개의 도전성 프로브들 사이의 플라즈마의 전기 및 전압(I-V) 특성의 측정을 허용한다.
도 4a 및 4b는 단일 DFP 센서의 기본 동작 원리를 도시한다. 두 개의 평판 도전성 프로브들(13)이 플라즈마(50)에 노출된다. 유동 바이어스 전위(61)가 플라즈마(50) 및 DFP 센서 회로를 통하여 네트 전류(64)를 발생시키기 위하여 프로브들 사이에 인가된다. 상대적으로 높은 바이어스 전위에 위치될 때, 순수 DFP 전류는 프로브로의 전자 전류가 배제되는 것에 의하여 음으로 바이어스된 프로브 표면으로의 이온 전류량(70)에 의하여 제한되고, 이것은 이온 포화 전류로 알려져 있다. 제2 프로브로의 이온 전류(74) 및 전자 전류(72)는 프로브의 부유 조건을 만족시키기 위하여 모든 플라즈마 전류 합이 0이 되도록 보상된다. 프로브들이 기하학적으로 거의 대칭이고 플라즈마가 프로브 표면을 가로지르는 상대적으로 균일한 특성을 가질 때, I-V 선(80)이 생성된다. 도 4b에 도시된 바와 같이, 전형적인 DFP 프로브의 I-V 선은 백-투-백(back-to-back) 다이오드 쌍의 특성과 유사한 특성을 갖는다. 포화 전류들(82 및 84)은 각각 이온 포화 전류들(70 및 74)에 각각 대응한다. I-V 곡선의 중간 영역(86)은 전자 전류들(72 및 76)에 의하여 결정되고, DFP 센서 패드들(13)의 표면에 명백히 나타나는 바와 같이 전자 에너지 분포의 "고에너지" 전자 집단을 나타내는 피상 전자 온도 <Te>를 결정하기 위하여 사용될 수 있다.
전류 특성 대 대칭 이중-부유 프로브용 바이어스 전압은 다음 식에 의하여 근사된다.
Figure 112006010902518-PCT00001
여기서,
Figure 112006010902518-PCT00002
는 이온 포화 전류이고, V0는 바이어스가 인가되지 않았을 때 프로부의 부유 전위이며, VDFP는 차동 인가된 프로브 전압이며, Te는 피상 전자 온도이다. 식 (1)은 증가하는 바이어스 전위, 비대칭 프로부 영역, 불균일 플라즈마 및 비-맥스웨적인 전자 에너지 분포에 따른 유효 프로브 영역 확장을 포함하는 비 이상적인 상황을 고려하도록 변형될 수 있다. 식 (1)을 가져오는 종래 분석은 DC 부 유 DFP 경우를 위한 것이나, 강한 RF 장이 존재하고 RF 필터링이 DFP 센서가 프로세스에 내재된 RF 전위 변동을 따르게 하기 위하여 채용된 플라즈마 확경에 적용가능하다.
실제로 원위치의 센서 상에서 DFP 진단 기술을 구현하기 위하여, 부유 프로브 바이어스 수단을 제공하는 것이 필요하다. 일 예가 도 5에 도시되는데, 여기서 DFP 센서 패드들(13)이 바이폴라의 사각파로 펄스된 전력 소스(90)로 전력이 인가된다. 실제 프로브 면들은 전압 소스에 직접 연결되거나 절연 커패시터들(92 및 94)을 통하여 용량적으로 결합될 것이다. 일련의 커패시터들(92 및 94)은 만약 사각파 펄싱 공급이 유동적이라면 선택적이다. 이러한 용량성 소자들은 또한 예를 들면 증착된 유전체 막의 형성이나 산화피막 코팅과 같은 프로브 표면에 나타날 수 있는 임의의 유전체층을 나타낼 수 있다. 강한 RF 신호 또는 자기-바이어스들이 유도된 RF가 고려되는 경우에, 일련의 RF 공진 초크 필터들(96 및 98)(즉, 일련의 저역 통과 필터에 직렬인 튜닝된 병렬 커패시터-인덕터)이 RF 주파수 및 관련 고조파를 선택하는 높은 임피던스를 제공하기 위하여 결합될 수 있다. 마지막으로 공통 모드 초크(100)가 부유 센서의 리드들 사이의 임의의 노이즈를 차단하기 위하여 포함될 수 있다.
도 5의 회로 소자 배열에 따라, DFP 센서 패드들(13)은 제어된 피크-대-피크 크기를 가지는 바이폴라 펄스된 신호(90)로 유도될 수 있다. 이러한 유도에 따라, DFP 센서는 샘플링 저항(106) 또는 선택적으로 발광 다이오드를 가로지르는 전압(104)으로 감지될 수 있는 플라즈마(10)를 통한 전류를 제공한다. 여자 전압 레벨 (108) 역시 샘플링될 수 있다. 펄스된 여자는 DFP 센서 표면들 또는 전기적 리드들 사이에 임의의 병렬 기생 커패시턴스에 관계된 0이 아닌 션트(shunt) 커패시턴스(112)에 연관된 고주파수 전류 요소(110)를 제공할 수 있다. 일반적으로 션트 커패시턴스는 작게 유지하는 것이 바람직하다. 임의의 절연 커패시턴스들(92 및 94)의 값이 실질적으로 션트 커패시턴스(112)의 레벨보다 크다면, DFP 센서 전류(102)는 전체 전류(113)로부터 결정될 수 있다. 지연, 샘플링 및 홀딩의 적절한 방법에 따라, 심지어 얇은 유전체 코팅이 DFP 센서 패드들의 표면에 나타나는 경우에도, DFP 이온 포화 전류 값을 판독하는 것이 가능하다. 게다가, 만약 DFP 센서 여자 전압의 레벨이 제어된다면, DFP 센서의 I-V 특성을 결정하고, 그에 의하여 피상 전자 온도 레벨들을 감지하는 것이 가능하다.
DFP 센서들이 션트 커패시턴스와 유사하거나 그보다 낮은 순수 연속 커패시턴스값을 가지는 유전체 코팅을 나타내는 경우에, DFP 센서 전류로부터 션트 전류를 분리하는 것이 좀 더 어려워진다. 두꺼운 유전체 막이 프로브 표면에 형성되는 과정에서, 프로브 표면을 세척하거나 프로세싱 시스템으로부터 유전체 막을 제거하는 것이 필요할 수 있다. 따라서, 프로브 표면 또는 어레이 어셈블리는 프로세싱 챔버로부터 제거될 수 있고, 종래 챔버 세정 방법과 호환 가능한 물질로 제조되는 것이 바람직하다. 예를 들면, 탄소 또는 실리콘 증착을 포함하는 PE-CVD 공정에서, DFP 프로브들은 각각 산소- 또는 플루오르-기반의 화학물질들로 세정될 수 있는 재료로 제조되는 것이 바람직하다.
도 6a, 6b 및 6c는 DFP 센서 패트들의 다양한 형상을 나타낸다. 도 6a는 실 질적으로 약 0.1 내지 10㎠ 넓이의 평판 프로브에 결합되는 단일 DFP 센서 패드(13)를 나타낸다. 프로브는 도면에서 118에 의해 나타난 바와 같이, 챔버 벽, 라이너 또는 가공물 척 상의 임의의 도전성 또는 산화피막 처리된 경계로부터 전기적으로 격리된다. 프로브 센서는 또한 경계 표면으로부터 프로브를 공간적으로 분리시키기는 절연 장치(120) 및 진공 간격(112)을 포함할 수 있다. 이러한 간격은 부유 센서가 증착 프로세스에서 동작할 때, 접지되거나 다른 도전성 경계와 용량적으로 결합되는 것을 방지한다. 간격 너비는 전형적으로 프로브에 근접한 플라즈마의 1 내지 10 데바이(Debye) 거리(전형적으로 적용 가능한 프로세스 조건에서 약 0.1 내지 1㎜) 정도이다. 센서 패드(13)로의 전기적 연결(124)은 필요하다면, 프로브가 동작하거나 대치되기 위하여 제거될 수 있도록 하는 기계적 특징을 가지고 패드의 배면에 위치된다. 도 6b는 에칭 또는 챔버 세정 프로세스에서 공통적으로 요구될 수 있는 유전체 또는 산화피막 층(126)을 가진 도전석 전극으로부터 제조된 동일한 DFP 센서 패드(13)를 도시한다. 도 6c에서, DFP 센서는 스퍼터링된 도전성 코팅의 생성을 방지하는 포워드 마스킹 어셈블리(130)를 포함한다. 마스크 없이 도전성 코팅은 절연 간격 내에 그리고 절연 장치의 표면 위에 형성될 수 있으며, 부유 DFP 센서가 다른 도전성 경계와 단락되도록 하거나 평판 DFP 센서의 수집 영역이 확장되거나 DFP 측정에서 상당한 에러를 유발하도록 할 수 있다.
DFP 센서를 동작시키기 위하여, 외부 전자 시스템(42)이 어레이에 프로브 바이어스를 제공하고, 다양한 DFP 전류를 수집할 것이 요구된다. 이러한 회로 소자 구성의 일 실시예가 도 7에 도시된다. 이 실시예에서, 외부 회로는 AD 샘플링 능력 을 구비한 중앙 마이크로프로세서(140), DFP 프로브 신호 조건 회로(142)를 구비한 바이폴라-펄스된 공급기, 멀티플렉서(144), 그리고 DC 전력 소스(146) 및 회로 소자 내의 다양한 구성요소들로의 입력 전력을 조절하는 DC-DC 변환기(148)를 포함한다. 다른 구성요소들은 메모리(150), 내부 클럭(152) 및 외부 통신 전자 장치(154)를 포함한다. 멀티플렉스된 회소 소자는 어레이(12) 상에 DFP 센서 패드들(13)의 적절한 결합을 위하여 유선을 통하여 바이폴라 펄스 파형을 공급하는데 사용된다. 통신 전자 장치(154)와의 외부 통신은 유선 또는 무선 수단에 의하여 수행될 수 있다. 그것의 타이밍 또는 게이팅에 대항하여, 크로프로세서는 션트 커패시턴스에 의한 고주파수 과도 전류 에러를 피하고 DFP 전류 및 바이어스 전압 신호의 샘플링 및 평균화를 제공하기 위하여 A/D 변환이 수행되는 시기를 결정한다. 도 7 의 회로 소자들이 선택적인 공통 모드 초크, RF 필터들 또는 본 발명의 일 실시예와 연결된 도 5에서 설명된 연결(156)과 조화되는 절연 커패시터를 도시하고 있지 않다는 점을 주지하라.
도 8은 본 발명의 센서기기에 의해 수집될 수 있는 DFP 신호 데이터(170)의 매트릭스를 도시한 것이다. 예컨대, 어레이의 대각선을 따른 각각의 전류항(Ii,j)(172)은 한 쌍의 국소적인 DFP 프로브 센서 패드들 사이에서 측정된 포화전류 진폭의 평균을 나타낼 수 있다:
Figure 112006010902518-PCT00003
대각외(off-diagonal) 전류(Ii,j)(174 및 176)는 어레이에 둘러싸인 비국소적 DFP 센서 프로브와 관련된 이온포화 전류항과 마찬가지로 평균될 수 있다. 프로브들 사이에서 포화된 전류는 비국소적이고 플라즈마 바디의 더 큰 부분을 통해 전도되어야만 하므로, 이들 전류항들은 플라즈마 바디의 벌크 상태에 대한 추가 정보를 보유한다. 대안으로, 어레이는 또한 V바이어스의 펄스 진폭이 비교적 작은 경우 I-V 데이터로부터 감소되는 유효전자 온도 데이터를 보유할 수 있다.
전류항(170)의 어레이의 수학적 및 통계적 처리는 플라즈마 바디의 벌크 전기 전도도(bulk conductance)에 대해 덜한 정도로 경계에서의 플라즈마의 상태에 대한 감지 정보를 제공한다. 전류항의 어레이의 출력을 플라즈마의 간단하거나 복잡한 파워 밸런스 모델(power ballance models)에 대해 상관시킴으로써, 센서기기가 실시간 처리제어를 위한 피드백 정보를 구하는데 사용될 수 있다. 예컨대, 피드백 정보는 공정에 전달된 전력의 세트 포인트(set-point)를 제어하는데 사용될 수 있다. 이러한 기능을 수행하기 위해, 다중 DFP I-V 센서들로부터 취해진 정보가 많은 정보처리 구조 중 하나를 통해 분석될 수 있다. 정보 중 한가지 소스는 모든 I-V 프로브들(170)로부터의 리딩(reading) 매트릭스로 구성된다. 상술한 바와 같이, 이는 M×M 행렬의 정사각형 매트릭스이며, 여기서 M은 프로브의 개수이다. 대각선 항들(172)은 개개의 프로브 센서의 측정치이고 대각외 항들(174 및 176)은 j번째 프로브의 능동 펄싱(active pulsing)으로부터 i번째 프로브에서의 수동 측정치이다. 이러한 매트릭스의 구조는 촐레스키 분해(Cholesky factorization), 분수 분해(fractional factorization), QR 분해, 슈미트(Schmidt) 분해, 삼각 분해 또는 UD 분해와 같은 여러가지 분해기법(decomposition techniques)에 의해 분석될 수 있다. 또한, 특이값 분해(singular-value decomposition)는 고유벡터(eigenvectors) 및 공변 매트릭스를 유도하는데 사용되는 또 다른 기법이다. 이러한 분석은 공정의 핑거프린트(fingerprint)로서 그리고 공정 및 플라즈마의 은닉상태(hidden state)를 평가하는데 사용된다. 이 기법은 플라즈마 상태의 적시적인 스냅샷(snapshot)을 제공한다.
프로브 데이터의 또 다른 구성이 N×M 행렬에 있고, 여기서 M은 프로브의 개수이고 N은 M 프로브들 각각에 대한 일시적인 데이터 값들의 개수이다. 이러한 벡터값 시계열(vector-valued time series)은 제어공학 애플리케이션에서 일반적인 대표적인 서브공간 투사방법(subspace projection methods)을 사용하여 분석된다. 이들 신호의 분석은 또한 처리의 폐쇄루프제어 및 관찰되지 않은 상태 변수들에 대한 추정량을 전개하는데 사용되는 무피드백 외인성 신호(feedback-free exogenous signals)에 기초하여 구성되도록 확장될 수 있다. 확장 칼만 필터(extended Kalman filter)와 비선형 추정량 및 필터도 또한 I-V 프로드 리딩의 매트릭스로부터 데이터를 처리하는데 사용될 수 있다. 어레이는 시간, 여기전압, 전류 극성 등이 되는 다른 벡터들과 함께 임의의 크기일 수 있다.
DFP 어레이 장치를 정보처리법으로 조합함으로써, 장치는 압력 분출(pressure burst), 유량제어 오버슈팅(overshooting), 플라즈마 화학(plasma chemistry)의 순간적인 불균형, 및 코팅과 박막의 증착(deposition) 및 형성(build-up)으로 인한 알짜힘 전달에서의 드리프트(drift)와 같은 공정 이벤트에 의해 영향받을 수 있는 플라마즈마의 상태를 동적으로 추적하는데 사용될 수 있는 처리 실시간 정보를 제공한다. 이러한 효과에 대한 추적 및 조절은, 생산 수율 및 공정 시스템 가동시간의 증가를 목표로, 실행간 성능(run to run peformance), 공정 채택 및 최적화와 문제 시스템의 고장수리(trouble shooting)에 의해 수집된 정보로 구현될 수 있다.
도 9는 본 발명의 실시예에 따른 웨이퍼 플라즈마 처리 시스템에서 플라즈마 상태를 감시 또는 제어하기 위한 센서 신호의 사용을 도시한 것이다. 이 실시예에서, 집적회로 웨이퍼(36)를 처리하는데 사용되는 플라즈마 처리 시스템(10)은 센서 어레이(12)를 구비한다. 센서 어레이로부터 수집된 신호를 플라즈마 경계상태에 대한 감지 피드백 정보로 처리하기 위해 전자 서브시스템(42)이 제공되며, 상기 피드백 정보는 차례로 시스템 컨트롤러 또는 전자 데이터 서버(180)로 제공된다. 감지 입력신호의 처리는 실시간으로 수행될 수 있다. 제어 알고리즘을 사용하여, 시스템 제어기(180)는 본 발명의 피드백 정보를 사용하여 플라즈마 소스(182)에 대한 전력의 입력 레벨, 시스템 유량제어기(184)에 대한 가스유량 레벨, 또는 웨이퍼 척(wafer chuck) 서브시스템(186)에 대한 전력 및 바이어스를 조절한다. 상기 제어기에 의해 영향받는 다른 서브시스템들 또는 요인들은 압력 제어기, 펌핑 시스템 및 공정 단계들의 가동 시간을 포함할 수 있다. 처리 전자장치(42)가 도 9에서 개개의 전기적 실체(electrical entity)로서 도시되어 있으나, 이들 전자장치들은 대안으로 시스템 컨트롤러(또는 전자 데이터 서버)(180)의 특징내에서 부분적으로 또는 전체적으로 기계적으로 조합될 수 있거나 플라즈마 소스 또는 웨이퍼 척(wafer chuck) 서브시스템 전원공급 전자장치내에 배열될 수 있다.
이 속성에 의해, DFP 센서는 적절하게 작동되고 구성되는 경우 플라즈마 특성의 매우 낮은 섭동을 제공한다. 작동하지 않는 경우, 센서들은 전기적으로 처리 챔버벽에 대해 다르게 유전체적으로 절연되거나 양극처리된 표면과 같이 부유 전위(floating potential)로 충전된다. 프로브가 매우 낮은 프로파일 및 완만한 가장자리를 갖는 경우, 프로브는 반도체, 메모리 또는 광제품 처리에 미량의 결함을 초래할 수도 있는 큰 하전입자 또는 먼지(dusty) 하전된 입자들을 가둘 수 있는 전기 경계(electrical boundary)로서 작동할 수 없다.
프로브가 작동되는 경우, 플라즈마로 사출되는 총 에너지는 매우 작다. 예컨대, 2kW로 동작하는 무전극 방출을 갖는 처리 챔버내에서, 플라즈마 밀도는 1011/㎤의 차수일 수 있고, 이온포화 전류밀도는 약 1㎃/㎠이다. 약 2.5㎠의 DFP 센서면적에 대해 20%의 듀티 사이클(duty cycle)에서 약 0.5 msec의 펄스작동시간(pulse on time)을 가지며 DFP 센서에서 약 +/- 40V의 유효한 펄스-바이어스의 경우를 고려하자. 실시간 연속동작을 위해, 이 조건은 약 10-20㎽의 최대출력밀도를 수반한다. 그래도, 이러한 전력은 벌크 플라즈마내에 처리되지 못한다: 오히려, 대부분은 프 로브 표면의 이온충격(ion bombardment)을 통해 DFP 센서를 가열시키는데 충당된다. 최종적으로, DFP는 챔버벽의 자연적인 유동전위 부근 또는 아래에서 동작하는 랭뮤어 프로빙법(Langmuir probing method)이므로, 플라즈마 바디의 정전기적 구조에 대한 어떠한 섭동도 있지않게 된다. 상기 특징 및 고려들에 대해, DFP 감지장치는 플라즈마 처리상태의 양상을 감시하거나 제어하는데 일조하기 위해 플라즈마 바디에 대한 실시간 현위치 감지 정보를 산출하는 한편 플라즈마 기반의 처리에 대한 무시할 정도의 영향을 준다.
앞선 도면 및 실시예에서, DFP 어레이는 원칙적으로 프로브(1)의 Isat가 프로브(2) 또는 플라즈마 챔버 경계 주위에서 대칭적으로 배치된 임의의 다른 프로브의 Isat와 대략 동일한 양극(bipolar) 전류 파형을 갖는 한쌍의 동일한 유동 평면 센서패드를 갖는 센서들로 구성되는 것으로 표현하였다. 그러나, 이러한 기기에 대한 다른 변형은 공통의 전자 회귀전류(return current) 패드를 갖는 하나의 DFP 센서패드의 어레이를 가지는 것이다. 이 상황에서, 프로브 센서 패드의 어레이와 펄스 신호 전자장치는 펄스회로가 각각의 펄스 여기를 위해 방전(de-charge) 및 리트리거(re-trigger)를 할 수 있게 하는 추가 스위칭의 사용으로 단극일 수 있기 때문에 공통 패드는 동일한 기계적 구조의 동일한 면적으로 될 필요는 없다. 이러한 구성은, 실행을 위해 다소 더 간단해지지만, 도 8의 전류 매트릭스(170)의 대각선에서만 채워지게 되는 전류항들의 어레이를 제공할 수 있다.
본 발명은 또한 펄스 RF 또는 DC 전력동작을 사용하는 플라즈마 처리 시스템 에서 유용할 수 있다. 본 발명의 센서 어레이가 펄스 전력 플라즈마 시스템에 사용되는 경우, 플라즈마 처리 시스템의 펄싱 동역학을 갖는 센서로부터 데이터의 획득을 동기화하는 것이 바람직하다. 이러한 동기화는 펄스 동작 기기가 플라즈마 처리 시스템에서 하전입자 동역학에서의 주기적 변화를 포함하기 때문에 이점적이다. 동기 샘플링이 없다면, 구별 또는 시평균 센서 리딩은 펄스 전력에 대하여 에일리어스(ailias)되는 것으로 인해 잡음을 가지게 된다. 또한, 펄스전력 기기내에서 데이터를 얻기 위해 동기 게이팅(gating) 및 샘플링법을 사용하여, 이온포화전류 및 유효전자 에너지와 같은 플라즈마 특성의 평가가 펄스 주기동안 내내 특징으로 될 수 있다.
도 10은 펄스 RF 전력 플라즈마 처리 시스템에서 플라즈마 특성을 측정하는데 적합한 본 발명의 실시예를 도시한 것이다. 펄스 RF 동작동안 관찰된 고유한 RF 출력 파형 엔벨로프(200)에 대해, 플라즈마는 유효 전자에너지(202)와 이온포화전류(204)의 시간변화 특성을 나타낸다. 펄스 RF 플라즈마 처리 시스템의 펄싱 주파수는 일반적으로 약 100Hz 내지 약 10kHz의 범위이며, 이는 약 1 내지 20kHz 사이의 펄스 DFP 센서의 바람직한 여기 주파수보다 낮다. 이 경우, 양극 펄스를 사용하여 여기된 DFP 센서에 의해 측정된 이온포화전류는 신호 엔벨로프(206)로서 관찰되어 진다. 적절한 게이팅, 시스템 펄싱 및 센서 여기 주파수에 대한 순간적인 센서신호의 지연 및 유지로, 플라즈마 펄스 주기동안 내내 플라즈마 특성들의 동기 샘플링이 구해질 수 있고 플라즈마 특성들의 동적 또는 시간평균치를 전개하는데 사용될 수 있다. 펄스 RF 전력 타이밍에 대한 동기 트리거링에 의해, 전력 펄싱에 대 한 에일리어싱으로 인한 수집된 센서 리딩에서의 잡음이 방지되며, 따라서 상기 리딩이 플라즈마 상태의 진정한 순간적인 동역학을 더 나타내게 한다.
도 11은 마그네트론 스퍼터링 또는 보드 영역 이온소스에 사용되는 펄스 DC 플라즈마 처리 시스템에 사용하는데 적합한 본 발명의 실시예를 도시한 것이다. 이들 기기에서, 펄스 DC 전위(210)는 예컨대 DC 플라즈마 소스의 음극표면을 주기적으로 "방전"하도록 하는 비교적 큰 음전위에서 역전위(즉, 약간 양의 전위)로 간단히 전환된다. (이러한 기법의 예가 미국특허 No. 5,718,813에 개시되어 있다.) 따라서, 시스템의 플라즈마는 유효 전자에너지(212) 및 이온포화전류(214)의 시간변화 특성을 나타낸다. 펄스 DC 동작에서, 펄싱 주파수는 일반적으로 펄스 DFP 센서의 바람직한 여기 주파수보다 훨씬 더 큰 20kHz 내지 400kHz의 범위의 어느 곳에 있을 수 있다. 그 결과, 플라즈마 특성의 동적 응답이 파형(216)으로 도시된 바와 같이 하나의 펄스 DFP 시간주기내에서 여러번 복제된다. 그러나, DFP 센서 리딩의 트리거링, 게이팅, 및 시간지연의 적절한 제어로, 센서신호의 샘플링은 펄스 DC 출력 파형의 특징들로 동기화될 수 있다. 이런 식으로, 플라즈마 상태의 진정한 순간적인 동역학을 더 잘 나타내고 잡음을 덜 타는 센서 리딩이 수집될 수 있다.
RF 또는 DC 펄싱 기기 중 어느 하나에서, 임의의 외부 신호의 개수들이 본 발명의 센서의 동기 샘플링을 트리거링하거나 게이팅하는데 사용될 수 있다. 도 12에 도시된 바와 같이, 일 실시예에서, 플라즈마 소스 기기 또는 전원장치 및 플라즈마 소스 사이의 임의의 곳으로부터 외부전류 및 전압신호(220)는 센서의 샘플링을 동기화하는데 사용될 수 있다. 플라즈마의 광방출 강도를 나타내는 신호가 또한 사용될 수 있다. 대안으로, 전원장치의 내부 제어회로 또는 사용자 인터페이스로부터 이용될 수 있는 전력 "온/오프" 신호 또는 "-DC/+DC" 신호(222)가 센서의 트리거링 또는 게이팅을 동기화하는데 사용될 수 있다. 또 다른 대안은 플라즈마 바디와 접촉하는 독립적인 유동 프로프(224)에 의해 펄스구동 플라즈마 자체로부터의 신호를 감지하는 것이다. 이 실시예에서, 독립적인 유동 프로브 센서는 본 발명의 센서 어레이 어셈블리의 일부로서 포함될 수 있다. 이들 실시예들 중 어떤 것에서, 외부 신호들은 센서 어레이 신호의 동기 샘플링을 위해 신호 조절기(signal conditioner), 아이솔레이터(isolator) 또는 버퍼(226)를 통해 센서 어레이 처리 회로(42)로 펄싱 이벤트를 전달할 수 있다. 동기 샘플링은 센서 어레이로부터 데이터 획득을 적절하게 게이트하기 위해 펄스 센서 어레이 시스템으로부터 펄스신호를 갖는 전력 펄싱 이벤트를 나타내는 하나 이상의 외부 신호를 조합함으로써 이들 실시예들에서 달성된다.
반응 스퍼터 증착처리에 사용되는 본 발명의 실시예가 도 13에 도시되어 있다. 이 도면에서, 플라즈마 소스(24)는 워크파스(36)상의 처리 시스템(10)에 반응성 코팅 또는 박막을 증착시키는데 사용되는 마그네트론 스퍼터링 소스이다. 마그네트론 스퍼터링 시스템은, 속성상, 다변수적이므로, 증착공정의 상태를 감시하거나 제어하기 위한 수단으로서 플라즈마 감지 신호를 사용하는 것이 바람직하다. 이를 위해, 하나 이상의 DFP 센서 어레이(12)로부터 이온포화전류 및 전자온도측정이 전자장치(42)로부터 실시간으로 취해진다. 대안으로, 본 발명에 따라 상술한 다른 타입의 센서들이 사용될 수 있다. 실시간 측정은 도 9에 도시된 실시예와 연계하여 상술된 바와 같은 다변수 입출력 제어 모듈(180)을 통해 다른 서브시스템에 일련의 피드백 신호(230)로서 제공된다. 감지 신호는 실시간 제어를 위해 상태 추정량(state estimator)로서 동작한다. 반응성 스퍼터링의 경우에 대해, 이온포화전류의 수단 또는 동적 측정치는 전력, 압력 및 유량 설정에 크게 의존하므로, 이온포화전류 상태 추정량(들)이 피드백을 마그네트론 전원장치(182), 유량제어모듈(184)내에 있는 총유량 레벨들, 및 압력/펌핑 서브시스템(232)에 제공할 수 있다. 마찬가지로, 전자온도의 상태 추정량이 또 다른 중성가스(예컨대, 아르곤(Ar)) 대 반응성 가스(예컨대, O2 또는 N2)의 비 뿐만 아니라 반응성 가스의 부분압력을 조절하도록 유량제어 서브시스템(184)에 다시 공급될 수 있다. (통계적으로나 시간 함수로서) 전자 온도에 의해 측정되는 동적 전자 에너지 상태는 처리가스 및 스퍼터 표적 재료와 관련된 화학적 구성 및 고유한 전자충돌 물리학에 크게 따르기 때문에 이것도 또한 실행가능한 피드백 경로이다. 이런 식으로, 전력, 압력, 및 가스유량(gas flow rate)과 같은 처리 입력 파라미터는 상기 처리가 더 정확하게 표적으로 되고 제조시 반복되는 것을 보장하도록 실시간으로 조절될 수 있다. 이는 처리 시스템이 순간적인 드리프트(drift) 또는 분열에 응답하고 플라즈마 특성들(및 관련된 코팅 특성들)이 의도된 제어가능성의 허용오차 또는 범위에서 벗어날 가능성이 있는 경우 조작자에게 경고하도록 할 수 있는 스프터 증착 처리를 동작하는 이점적인 방식이다. 본 발명은 하나 또는 다수의 스퍼터 음극관 표적 재료 또는 스퍼터링 영역에 배열되는 반응성 또는 금속 스퍼터링 처리(배치(batch) 또는 인라인(in-line))에 적용될 수 있다.
DFP 센서는 본 발명의 소정 실시예와 연계하여 플라즈마의 경계 상태 측정을 구하기 위한 바람직한 센서로서 설명하였으나, 다른 센서들도 감지 어레이에 포함될 수 있다. 예컨대, 본 발명의 감지 어레이는 플라즈마 광방출 분광기 및 입자광산한을 측정하기 위한 광센서를 구비할 수 있다. 이러한 센서는 가스방출 또는 플라즈마내에서 분자 및 원자 종류의 다양한 여기된 상태들과 관련된 선택된 대역폭을 통해 플라즈마 광방출의 강도를 식별할 수 있는 광학적으로 필터된 임의의 반도체 광센서의 형태를 포함한다. 이러한 정보로, 반응성 가스종류의 상대 농도(예컨대, 광량측정(actinometry))가 정량화될 수 있거나, 에칭 종점 이벤트(etch end point event)가 검출될 수 있거나, 플라즈마 보조 화학기상증착처리에서 반응성 가스 화학반응 상태가 검사될 수 있다. 다중 센서들이 다른 스펙트럼들의 강도를 측정하기 위해 어레이에 배치될 수 있고 컴팩트한 렌즈들 또는 차단광학기들이 또한 협소한 각도로부터 선 집적된 광(line-integrated light)을 수집하는데 사용될 수 있으며, 이에 의해 플라즈마 방출 강도의 용적측정의 공간적 구조에 대한 정보의 계산을 할 수 있게 된다. 미리 패키지된 협소한 대역통과 필터 광검출기, 쐐기형 필터(wedge filter) 어셈블리를 갖는 광어레이 검출기 및 단일 IC 패키지에 집적된 광대역 스펙트럼 방출 센서를 포함한 광방출용 부품들은 상용으로 구매될 수 있다.
광검출기 어레이의 또 다른 기기는 플라즈마에서 부유되는 하전입자들 또는 워크피스상에 증착된 미립자들로부터 산란된 광의 검출이다. 이들 미립자들은 결함을 초래할 수 있고 IC 제조, 메모리 매체 및 고성능의 광학적 코팅에 문제를 야기 할 수 있다. 이러한 구성에서, (집속광학기를 갖는) 강한 LED와 같은 광원이 플라즈마를 통해 기판을 가로지르거나(즉, 기판위의 플라즈마 덮개 부근) 기판 면에 입사각도로 지향된다. 반사된 광 또는 산란된 광의 강도는 처리동안 기판상에서 플라즈마내에 있는 미립자 형성의 높이를 정량화하기 위해 광검출기 어레이에 의해 검출되고, 여러 각도로 분포된다.
본 발명은 또한 흡수 분광기용 광센서를 사용할 수 있다. 이 센서는 적외선 방출 다이오드, 및 협소한 대역통과 필터링을 포함할 수 있는 수신광 검출기와 같은 광원의 조합이다. 광원과 광검출기 부품의 정합 세트가 처리 시스템내에서 선택 가스들의 시선 흡광레벨(line-of-sight photo-absorption level)을 검출하기 위해 어레이의 대향면에 배치된다. 흡광레벨은 장소 집적된 가스 종류의 농도의 라인에 비례하므로, 센서는 처리 시스템에서 다양한 가스 성분들의 벌크 농도를 정량화하는데 사용될 수 있다. 광방출 어레이 센서장치와 관해, 흡광 센서 어레이는 반응성 가스 또는 시스템의 벌크내에 있는 가스성 부산물 농도 프로파일을 유추하는데 사용될 수 있다. 이 방식으로 감시될 수 있는 중요한 가스 종류들의 예로는 SF6, 다양한 CxFy 종류, 및 일반적으로 에칭 또는 챔버청소 부산물인 SiF4를 포함한다.
본 발명은 또한 벽 온도 및 표면온도 화학촉매 감지용 열센서를 사용할 수 있다. 처리 시스템내의 벽 온도는 복사열, 가스전도(gas conduction), 플라즈마 광방출, 하전입자속 (charge particle flux)및 안정화(de-excitation)와 화학 반응도를 포함하는 다양한 형태의 전력속(power flux)에 따르고 열선식(thermal mass) 뿐 만 아니라 처리 챔버벽 또는 선의 열관리(thermal management)에 따른다. 센서 어레이는 처리 시스템 경계의 일부이기 때문에, 온도 센서들이 벽온도 동역학, 반복가능성 및 변이를 감시하는데 사용될 수 있다. 어떤 경우에서, 온도센서는 정확한 측정을 위해 열보상 수단으로서 또 다른 센서 부품 또는 샘플링 전자장치를 사용하는 것이 필요할 수 있다.
열 센서들은 또한 상대유속, 농도 또는 선택한 반응성 가스종류의 수송을 감시하기 위해 표면 촉매측정에 사용될 수 있다. 예컨대, 수소원자 또는 산소원자의 상대 농도 또는 표면 유속이 열촉매 센서의 어레이를 사용하여 정량화될 수 있다.특정한 촉매재료로부터 열 프로브를 제조함으로써, 프로브에 의해 수신된 열에너지는 이들 반응성 원자종류의 촉매 재조합과 관련된다. 촉매재료가 없는 2차 열센서들이 또한 촉매표면 반응의 열로 인해 이로부터 발생한 도전성 또는 복사 열의 효과를 완화하기 위해 제공될 수 있다.
본 발명의 실시예에서, 표면 음향파 센서들이 압력, 박막 두께 및 증착속도를 측정하는데 사용될 수 있다. 압력은 가스의 총 농도 및 가스 온도와 관련되는 점에서 플라즈마 처리 시스템내에 중요한 물리적 파라미터이다. 일반적으로, 압력은 한 지점에서 처리 챔버의 경계에서만 감시된다. 본 발명에 따르면, 압력을 측정하기 위한 센서들의 어레이가 처리 시스템의 상태를 감시하거나 제어하는 사용되고 처리의 비균일이 발생되는 가스 압력에서의 미묘한 공간적 변이를 감시하는데 일조한다. 본 발명의 센서 어레이에 사용될 수 있는 컴팩트한 압력 센서의 한가지 타입의 예는 예컨대 2001년 1월의 "Acoustic Wave Sensor Technology Sensors", Sensors 초안에 기술된 바와 같은 표면 음향파 센서 또는 "SAW(surface acoustic wave)" 장치이다. 이들 압전소자는 진공기기용으로 제조되고 패키지 될 수 있고 다양한 물리적 또는 화학적 자극에 민감해지게 만들어질 수 있다. 챔버에 대한 이러한 센서들의 어레이를 배치시킴으로써, 전체 플라즈마 시스템에 대한 가스압력의 명백한 상태가 감시될 수 있다.
SAW 센서의 또 다른 적용가능한 형태는 두께 전단모드(Thickness shear mode, TSM)에 동작되는 형태이다. 이 구성에서, 압전소자의 반응은 그 표면에 놓여지는 임의의 질량의 축적에 민감하다. 이러한 센서들은 증착속도 및 총 박막 두께를 측정하기 위한 진공코팅산업에 통상적으로 사용되어왔다. 상술한 압력센서에 대해, TSM-SAW 장치의 어레이는 처리 챔버벽에 대한 재료의 증착속도를 감시하는데 사용될 수 있다. 또한, SAW 장치들은 질량에서의 변화에 매우 민감하게 제조될 수 있기 때문에, 상기 장치들은 또한 에칭공정동안 증강될 수 있는 잔여 박막의 공통 증착을 검출하거나 처리에서 발생될 수 있었던 원치않는 입자들의 존재를 검출하는데 사용될 수 있다. 이러한 센서들은 실시간으로 증착공정을 감시하거나 챔버가 적절하게 사전 단련되거나(pre-seasoned) 예방 유지를 위해 예정되어야만 하는 때를 판단하는데 일조하게 사용될 수 있다.
본 발명의 다른 실시예들은 접촉화학 센싱, 질량 분석법, 이온 에너지 및 진동센싱용 마이크로 전자기계 시스템(MEMs) 부품들을 사용한다. 한가지 이러한 센서는 플라즈마 처리 시스템에 대한 기계적 진동을 측정하는 유용한 MEMs계열의 가속도계이다. 이들 마이크로 가속도계는 과도한 적재 또는 마모의 유무, 증가된 마찰, 충격 및 기계적 진동을 감지하기 위해 기계 부품들 또는 서브시스템들(예컨대, 터보-펌프, 게이트 밸브, 로보틱스(robotics), 리프트 어셈블리(lift assembly))의 통합과 관련된 정보를 제공한다. 이 정보는 기계적 고정이전에 예방유지동작을 촉발하거나, 사용자 또는 외부 환경에 의해 야기된 기계적 마모 또는 기계적 교란에 대한 입자 오염문제를 추적하도록 일조하는데 사용될 수 있다.
본 발명에 사용될 수 있는 또 다른 MEMs 센서는 선택 가스농도센서이다. 이 장치의 일실시예는 예컨대 해글레이트너 등(Hegleitner et al.)의 "A Single-Chip CMOS Resonant Beam Gas Sensor"라는 제목의 2001년 2월 6일자 2001 IEEE International Solid-State Circuits Conference에 설명된 CMOS MEMs 공명 빔 가스센서이다. 이 장치에서, 공진되는, 화학적 민감성 캔틸레버 빔(cantilever beam)이 빔의 표면에 흡수된 선택 가스의 농도를 검출하는데 사용된다. 챔버의 벽에 대한 이러한 센서의 어레이는 비증착 공정에서 다양한 가스들의 알짜 확산농도를 판단하는데 사용될 수 있다. 본 발명의 실시예에 사용을 위한 MEMs 센서의 또 다른 실시예는 예컨대, 블레인 등(Blain et al.)의 "High-Resolution Submicron Retarding Field Analyzer for Low-Temperature Plasma Analysis"라는 제목의 Applied Physics Letters, Vol. 75, 1999에 기술된 바와 같은 이온 에너지를 측정하도록 설계된 센서들 뿐만 아니라 국소적인 전기장 및 자기장 측정과 질량 분석법 측정용으로 제조된 MEMs 센서들을 포함한다.
본 명세서에서 동작의 특정한 구조 및 세부내용이 도시되고 설명되었으나, 이들 설명은 예시적이며 다른 실시예들 및 균등물이 본 발명의 기술사상 및 범위로 부터 벗어남이 없이 당업자에 의해 용이하게 만들어 질 수 있음이 이해된다. 따라서, 본 발명은 특허청구범위의 기술사상 및 범위내에 있는 모든 이러한 대안 및 균등물을 포함하는 것으로 의도되어 있다.
본 발명의 상세한 설명에 포함됨.

Claims (18)

  1. a) 플라즈마 챔버를 갖는 플라즈마 처리 시스템을 형성하는 단계;
    b) 상기 플라즈마 챔버내에 배치된 복수의 센서들을 구비하는 센서 어레이를 형성하는 단계;
    c) 플라즈마 공정에 사용하기 위해 상기 플라즈마 챔버내에 플라즈마를 생성하는 단계; 및
    d) 상기 센서 어레이를 사용하여 상기 플라즈마의 경계특성을 측정하는 단계를 포함하는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  2. 제 1 항에 있어서,
    상기 센서들은 이중 부유 랭뮤어 프로브(dual floating Langmuir porbes)인 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  3. 제 1 항에 있어서,
    상기 센서 어레이가 상기 플라즈마 챔버내에 포함된 챔버 라이너(chamber liner)를 따라 배치되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  4. 제 1 항에 있어서,
    상기 센서 어레이가 상기 플라즈마 챔버내에 포함된 유전체 격리 링 (dielectric isolation ring)을 따라 배치되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  5. 제 1 항에 있어서,
    상기 센서 어레이가 상기 플라즈마 챔버내에 포함된 워크피스 척(workpiece chuck) 주위에 배치되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  6. 제 1 항에 있어서,
    상기 플라즈마의 경계특성은 실시간으로 측정되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  7. 제 1 항에 있어서,
    상기 플라즈마의 측정된 경계특성은 플라즈마의 벌크(bulk) 특성을 계산하는데 사용되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  8. 제 1 항에 있어서,
    상기 플라즈마의 측정된 경계특성이 상기 플라즈마 처리를 제어하는 처리 시스템 제어기에 더 제공되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  9. 제 1 항에 있어서,
    상기 복수의 센들은 상기 플라즈마의 경계특성을 측정하도록 동적으로 펄스되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  10. 제 9 항에 있어서,
    상기 플라즈마는 펄스 전력원을 사용하여 생성되고 상기 복수의 센서들의 동적 펄싱(dynamic pulsing)은 상기 펄스 전력원의 펄싱과 함께 동기화되는 플라즈마 처리 시스템에서 플라즈마 경계특성 측정방법.
  11. a) 처리 플라즈마가 생성될 수 있는 플라즈마 챔버;
    b) 상기 플라즈마 챔버내에 배치되는 복수의 센서들을 구비하는 센서 어레이; 및
    c) 상기 처리 플라즈마의 경계특성을 측정하기 위해 상기 복수의 센서들을 자극하기 위한 회로를 구비하는 플라즈마 처리 시스템.
  12. 제 11 항에 있어서,
    상기 센서들은 이중 부유 랭뮤어 프로브인 플라즈마 처리 시스템.
  13. 제 11 항에 있어서,
    상기 센서 어레이는 플라즈마 챔버내에 포함된 챔버 라이너를 따라 배치되는 플라즈마 처리 시스템.
  14. 제 11 항에 있어서,
    상기 센서 어레이는 플라즈마 챔버내에 포함된 유전체 격리 링을 따라 배치되는 플라즈마 처리 시스템.
  15. 제 11 항에 있어서,
    상기 센서 어레이는 플라즈마 챔버내에 포함된 워크피스 척 주위에 배치되는 플라즈마 처리 시스템.
  16. 제 11 항에 있어서,
    상기 복수의 센서들을 자극하기 위한 회로는 동적 펄싱 회로인 플라즈마 처리 시스템.
  17. 제 11 항에 있어서,
    상기 복수의 센서들을 자극하기 위한 회로는 다중 회로(multiplex circuit)인 플라즈마 처리 시스템.
  18. 제 11 항에 있어서,
    처리 시스템 제어기로 상기 플라즈마의 측정된 경계특성을 기록하고 통신하 는 회로를 더 포함하는 플라즈마 처리 시스템.
KR1020067003126A 2003-08-14 2004-08-12 플라즈마 처리 환경에서 플라즈마 특성을 측정하기 위한센서 어레이 KR20060067957A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/640,892 US6902646B2 (en) 2003-08-14 2003-08-14 Sensor array for measuring plasma characteristics in plasma processing environments
US10/640,892 2003-08-14

Publications (1)

Publication Number Publication Date
KR20060067957A true KR20060067957A (ko) 2006-06-20

Family

ID=34136202

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067003126A KR20060067957A (ko) 2003-08-14 2004-08-12 플라즈마 처리 환경에서 플라즈마 특성을 측정하기 위한센서 어레이

Country Status (5)

Country Link
US (2) US6902646B2 (ko)
JP (1) JP5015596B2 (ko)
KR (1) KR20060067957A (ko)
TW (1) TWI342898B (ko)
WO (1) WO2005017937A2 (ko)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854082B1 (ko) * 2007-03-06 2008-08-25 중앙대학교 산학협력단 플라즈마 식각 장치를 이용하여 시즈닝 하는 방법
KR101349189B1 (ko) * 2007-08-16 2014-01-09 주식회사 뉴파워 프라즈마 원격 플라즈마 시스템 및 방법
WO2021080089A1 (ko) * 2019-10-23 2021-04-29 충남대학교산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor
WO2021142378A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11574799B2 (en) 2019-06-28 2023-02-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown
US12051549B2 (en) 2022-08-02 2024-07-30 COMET Technologies USA, Inc. Coaxial variable capacitor

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7084369B2 (en) * 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US20060252283A1 (en) * 2003-08-07 2006-11-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and sustrate processing method
US7288942B2 (en) * 2003-10-02 2007-10-30 Naoyuki Sato Plasma potential measuring method and apparatus, and plasma potential measuring probe
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
EP3294045B1 (en) * 2004-07-21 2019-03-27 Mevion Medical Systems, Inc. A programmable radio frequency waveform generator for a synchrocyclotron
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US20060171848A1 (en) * 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
US7695984B1 (en) * 2005-04-20 2010-04-13 Pivotal Systems Corporation Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US7476556B2 (en) 2005-08-11 2009-01-13 Micron Technology, Inc. Systems and methods for plasma processing of microfeature workpieces
JP4878187B2 (ja) * 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
US20080023146A1 (en) * 2006-07-26 2008-01-31 Advanced Energy Industries, Inc. Inductively coupled plasma system with internal coil
KR100782370B1 (ko) * 2006-08-04 2007-12-07 삼성전자주식회사 지연 전기장을 이용한 이온 에너지 분포 분석기에 근거한이온 분석 시스템
US7853364B2 (en) * 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
KR100839909B1 (ko) * 2006-12-19 2008-06-19 세메스 주식회사 고밀도 플라즈마 화학 기상 증착 설비 및 그의 처리 방법
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8104342B2 (en) 2007-02-23 2012-01-31 Kla-Tencor Corporation Process condition measuring device
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008277275A (ja) * 2007-03-30 2008-11-13 Tokyo Electron Ltd プラズマ処理装置、計測装置、計測方法および制御装置
US8798806B2 (en) * 2007-04-30 2014-08-05 Hewlett-Packard Development Company, L.P. Electronic device thermal management system and method
KR101496654B1 (ko) 2007-06-27 2015-02-27 브룩스 오토메이션 인코퍼레이티드 리프트 능력 및 감소된 코깅 특성들을 가지는 전동기 고정자
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
CN102007366B (zh) 2007-06-27 2014-06-18 布鲁克斯自动化公司 多维位置传感器
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR20100056468A (ko) 2007-07-17 2010-05-27 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
CN101970166B (zh) * 2007-12-13 2013-05-08 朗姆研究公司 等离子体无约束传感器及其方法
JP5162269B2 (ja) * 2008-02-08 2013-03-13 株式会社アルバック 真空処理装置
US8334700B2 (en) * 2008-02-14 2012-12-18 Mks Instruments, Inc. Arc detection
US8264237B2 (en) * 2008-02-14 2012-09-11 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US8289029B2 (en) * 2008-02-14 2012-10-16 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US7839223B2 (en) * 2008-03-23 2010-11-23 Advanced Energy Industries, Inc. Method and apparatus for advanced frequency tuning
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8053364B2 (en) * 2008-05-01 2011-11-08 Intermolecular, Inc. Closed-loop sputtering controlled to enhance electrical characteristics in deposited layer
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
WO2010005934A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
JP5427888B2 (ja) * 2008-07-07 2014-02-26 ラム リサーチ コーポレーション プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
WO2010030718A2 (en) * 2008-09-11 2010-03-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
CN102804929B (zh) * 2009-06-30 2015-11-25 朗姆研究公司 用于处理室的预测性预防性维护的方法和装置
CZ304249B6 (cs) * 2009-11-18 2014-01-29 Fyzikální ústav AV ČR, v.v.i. Způsob synchronizace měření pro sondovou diagnostiku plazmatu a měřicí systém k provádění tohoto způsobu
KR101888324B1 (ko) * 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
WO2011115023A1 (ja) * 2010-03-16 2011-09-22 みずほ情報総研株式会社 プラズマプロセスによる加工形状の予測システム、方法及びプログラム
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US8357263B2 (en) 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
WO2012047819A2 (en) * 2010-10-05 2012-04-12 Skyworks Solutions, Inc. Apparatus and methods for plasma etching
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US8816281B2 (en) * 2011-03-28 2014-08-26 Tokyo Electron Limited Ion energy analyzer and methods of manufacturing the same
US20120283973A1 (en) * 2011-05-05 2012-11-08 Imec Plasma probe and method for plasma diagnostics
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
TWI620227B (zh) * 2011-07-27 2018-04-01 Hitachi High Tech Corp Plasma processing device and plasma etching method
DE102011111613B4 (de) * 2011-08-25 2013-03-07 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Sensoranordnung zur Charakterisierung von Plasmabeschichtungs-, Plasmaätz- und Plasmabehandlungsprozessen sowie Verfahren zur Ermittlung von Kenngrößen in diesen Prozessen
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
MY171465A (en) 2012-07-05 2019-10-15 Intevac Inc Method to produce highly transparent hydrogenated carbon protective coating for transparent substrates
FR2993393B1 (fr) * 2012-07-11 2016-01-15 Centre Nat Rech Scient Lampe a decharge luminescente
US9291649B2 (en) * 2012-08-16 2016-03-22 Mks Instruments, Inc. On the enhancements of planar based RF sensor technology
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
MX2012011702A (es) * 2012-10-08 2014-04-24 Ct De Investigación Y De Estudios Avanzados Del I P N Dispositivo de rayo plasmatico no termico como fuente de ionizacion espacial para espectrometria de masa ambiental y metodo para su aplicacion.
JP5883769B2 (ja) * 2012-11-15 2016-03-15 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TWI474367B (zh) * 2012-12-26 2015-02-21 Metal Ind Res & Dev Ct 電漿系統的回饋控制方法及其裝置
CN103903951B (zh) * 2012-12-28 2016-05-18 财团法人金属工业研究发展中心 等离子体系统的回馈控制方法及其系统
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20150031782A (ko) * 2013-09-16 2015-03-25 삼성전자주식회사 플라즈마 특성을 모니터링하는 웨이퍼 형태의 진단 기구 및 이를 이용하는 진단 시스템
WO2015051277A2 (en) * 2013-10-04 2015-04-09 Intevac, Inc. Method and apparatus to produce high density overcoats
US10515813B2 (en) * 2013-12-10 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for etching apparatus and etching-detection method
CN104733279B (zh) * 2013-12-23 2017-02-15 中微半导体设备(上海)有限公司 等离子体刻蚀系统
CN104733277B (zh) * 2013-12-23 2017-03-08 中微半导体设备(上海)有限公司 等离子体刻蚀系统
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US20150364300A1 (en) * 2014-06-16 2015-12-17 Lam Research Corporation Determining presence of conductive film on dielectric surface of reaction chamber
US10141166B2 (en) * 2014-08-15 2018-11-27 Applied Materials, Inc. Method of real time in-situ chamber condition monitoring using sensors and RF communication
US10242844B2 (en) * 2014-09-30 2019-03-26 Lam Research Corporation Rotating RF electric field antenna for uniform plasma generation
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US20170350014A1 (en) * 2014-12-26 2017-12-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TW201705183A (zh) * 2015-07-31 2017-02-01 逢甲大學 超高頻電漿模擬裝置與超高頻電漿特性量測方法
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
KR102417178B1 (ko) 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP2017188236A (ja) * 2016-04-03 2017-10-12 国立大学法人東北大学 プラズマ処理装置におけるプラズマ状態の計測方法及びその計測方法に使用されるプラズマ計測装置
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
KR20180033995A (ko) * 2016-09-27 2018-04-04 삼성전자주식회사 모니터링 유닛, 이를 포함하는 플라즈마 처리 장치 및 그를 이용한 반도체 칩의 제조 방법
JP6899693B2 (ja) * 2017-04-14 2021-07-07 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP2020517112A (ja) * 2017-04-14 2020-06-11 イオニアー エルエルシーIoneer, Llc プラズマ処理リアクタ内でのプラズマ放射を測定する方法およびシステム
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7026578B2 (ja) * 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
US20190088449A1 (en) * 2017-09-21 2019-03-21 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
CN109975856B (zh) * 2017-12-27 2024-02-09 核工业西南物理研究院 一种基于多工器的多波束微波源
US10978280B2 (en) * 2017-12-29 2021-04-13 Elemental Scientific, Inc. Systems and methods for ICPMS matrix offset calibration
EP3781650A4 (en) * 2018-04-20 2021-12-22 The Texas A&M University System SCALE-UP OF A HEAVY OIL SPLIT DEVICE WITH MULTIPLE ELECTRIC DISCHARGE MODULES
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10345832B1 (en) * 2018-05-14 2019-07-09 Asm Ip Holding B.V. Insulation system and substrate processing apparatus
JP7010770B2 (ja) 2018-06-13 2022-01-26 日立Geニュークリア・エナジー株式会社 超音波検査システム
CN108766871A (zh) * 2018-06-13 2018-11-06 沈阳富创精密设备有限公司 一种应用于半导体行业的直接写入等离子喷涂技术
CN112335342B (zh) * 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
WO2019245729A1 (en) * 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
CN109018457B (zh) * 2018-07-31 2020-07-28 北京卫星环境工程研究所 航天器悬浮电位试验验证方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
US11264219B2 (en) 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
KR102671935B1 (ko) * 2019-04-19 2024-06-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버, 다중 스테이션 처리 챔버를 위한 최상부 플레이트, 및 처리 챔버 내의 기판을 측정하기 위한 방법
CN111063632B (zh) * 2019-10-15 2024-02-06 北京烁科中科信电子装备有限公司 一种高密度阵列式法拉第筒测量探头
CN110740558B (zh) * 2019-10-18 2021-05-07 南昌大学 一种测量等离子体电子非广延参数的方法
US20210217588A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Azimuthal sensor array for radio frequency plasma-based wafer processing systems
US11545346B2 (en) 2020-03-06 2023-01-03 Applied Materials, Inc. Capacitive sensing data integration for plasma chamber condition monitoring
US20210280399A1 (en) * 2020-03-06 2021-09-09 Applied Materials, Inc. Capacitive sensors and capacitive sensing locations for plasma chamber condition monitoring
JP2021144832A (ja) * 2020-03-11 2021-09-24 東京エレクトロン株式会社 プラズマ計測装置、及びプラズマ計測方法
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11589474B2 (en) * 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
EP3968353A1 (en) 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112820618B (zh) * 2020-12-14 2023-04-07 兰州空间技术物理研究所 一种微型溅射离子泵等离子体诊断装置及诊断方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US20220367149A1 (en) * 2021-05-12 2022-11-17 Tokyo Electron Limited Systems And Methods For Real-Time Pulse Measurement And Pulse Timing Adjustment To Control Plasma Process Performance
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR20230046005A (ko) * 2021-09-29 2023-04-05 삼성전자주식회사 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법
US20230187169A1 (en) * 2021-12-13 2023-06-15 Applied Materials, Inc Method to measure radical ion flux using a modified pirani vacuum gauge architecture
KR20230092176A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법
CN114740244B (zh) * 2022-04-01 2023-06-20 核工业西南物理研究院 一种用于等离子体电流分布诊断的罗氏线圈探针
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024031098A1 (en) * 2022-08-05 2024-02-08 University Of Southern California Iris: integrated retinal functionality in image sensors

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US173059A (en) * 1876-02-01 Improvement in treadles
US4006404A (en) * 1976-01-30 1977-02-01 The United States Of America As Represented By The Secretary Of The Navy Pulsed plasma probe
JPS63136626A (ja) * 1986-11-28 1988-06-08 Canon Inc プラズマ処理装置
JPH03104222A (ja) * 1989-09-19 1991-05-01 Fuji Electric Co Ltd プラズマ処理装置
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5167748A (en) * 1990-09-06 1992-12-01 Charles Evans And Associates Plasma etching method and apparatus
US5339039A (en) * 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
JPH07169590A (ja) * 1993-09-16 1995-07-04 Fujitsu Ltd 電子密度の測定方法及びその装置及び電子密度の制御装置及びプラズマ処理装置
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5451784A (en) * 1994-10-31 1995-09-19 Applied Materials, Inc. Composite diagnostic wafer for semiconductor wafer processing systems
US5667701A (en) * 1995-06-07 1997-09-16 Applied Materials, Inc. Method of measuring the amount of capacitive coupling of RF power in an inductively coupled plasma
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
EP0841692A3 (en) * 1996-11-08 1998-12-23 Matsushita Electric Industrial Co., Ltd. Apparatus and method for optical evaluation of a semiconductor device
CN1165952A (zh) 1997-04-29 1997-11-26 王慰平 束外激光功率、能量测量法
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US6450184B1 (en) * 2000-02-04 2002-09-17 Lawrence Azar Apparatus for measuring cavitation energy profiles
US6653852B1 (en) * 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP2002164321A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp ドライエッチング装置
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
US6458238B1 (en) * 2000-12-29 2002-10-01 Basf Corporation Adhesive binder and synergist composition and process of making lignocellulosic articles
US6673636B2 (en) 2001-05-18 2004-01-06 Applied Materails Inc. Method of real-time plasma charging voltage measurement on powered electrode with electrostatic chuck in plasma process chambers
JP4219628B2 (ja) * 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
DE10140299B4 (de) * 2001-08-16 2004-10-28 Mtu Aero Engines Gmbh Verfahren zur Überwachung und online-Diagnose eines thermischen Spritzprozesses
US20030052295A1 (en) * 2001-09-19 2003-03-20 Daniel Morgan Valve apparatus and method
US6576922B1 (en) * 2001-12-21 2003-06-10 Texas Instruments Incorporated Ferroelectric capacitor plasma charging monitor
TWI239794B (en) * 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US6815653B2 (en) * 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US6614051B1 (en) * 2002-05-10 2003-09-02 Applied Materials, Inc. Device for monitoring substrate charging and method of fabricating same
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854082B1 (ko) * 2007-03-06 2008-08-25 중앙대학교 산학협력단 플라즈마 식각 장치를 이용하여 시즈닝 하는 방법
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor
KR101349189B1 (ko) * 2007-08-16 2014-01-09 주식회사 뉴파워 프라즈마 원격 플라즈마 시스템 및 방법
US11972928B2 (en) 2019-06-28 2024-04-30 COMET Technologies USA, Inc. Method and system for plasma processing arc suppression
US11574799B2 (en) 2019-06-28 2023-02-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
WO2021080089A1 (ko) * 2019-10-23 2021-04-29 충남대학교산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
WO2021142378A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown
US12051549B2 (en) 2022-08-02 2024-07-30 COMET Technologies USA, Inc. Coaxial variable capacitor

Also Published As

Publication number Publication date
US20050034811A1 (en) 2005-02-17
US20050151544A1 (en) 2005-07-14
JP5015596B2 (ja) 2012-08-29
US8545669B2 (en) 2013-10-01
TWI342898B (en) 2011-06-01
JP2007502519A (ja) 2007-02-08
TW200506388A (en) 2005-02-16
US6902646B2 (en) 2005-06-07
WO2005017937A2 (en) 2005-02-24
WO2005017937A3 (en) 2005-06-09

Similar Documents

Publication Publication Date Title
US6902646B2 (en) Sensor array for measuring plasma characteristics in plasma processing environments
KR100499229B1 (ko) 플라즈마를 사용하여 반도체웨이퍼를 처리하는플라즈마처리장치
US10141163B2 (en) Controlling ion energy within a plasma chamber
JP4343875B2 (ja) エッチング量計測装置、エッチング装置及びエッチング量計測方法
KR101234938B1 (ko) 플라즈마의 전기적 특성 세트를 측정하기 위한 장치
CN107978506B (zh) 控制开关模式离子能量分布系统的方法
US7931776B2 (en) Plasma processing apparatus
KR20180106816A (ko) 플라스마 처리 장치 및 플라스마 처리 방법
JP4642048B2 (ja) 遅延電場を用いたイオンエネルギー分布分析器に基づいたイオン分析システム
KR19990087819A (ko) 플라즈마 처리장치
JP2008252078A (ja) イオン電流に関連した発光分光法/残留ガス分析装置を使用するドーズ計測
KR20020020979A (ko) 플라즈마환경의 동적 감지를 사용하는 플라즈마처리방법및 장치
JP4922705B2 (ja) プラズマ処理方法および装置
US8496781B2 (en) Plasma processing apparatus
KR101591961B1 (ko) 플라즈마 처리 챔버의 플라즈마 상태 분석 장치 및 방법
JPWO2002059954A1 (ja) プラズマ処理装置およびプラズマ処理方法
Edelberg et al. Compact floating ion energy analyzer for measuring energy distributions of ions bombarding radio-frequency biased electrode surfaces
KR20110122664A (ko) 처리 시스템의 화학적 분석에 사용하기 위한 전자 빔 여기 장치
JP2010056270A (ja) パーティクルモニタを備えた基板処理装置及びそれを用いた基板処理方法
JP2005043052A (ja) 異物検出方法、処理装置および異物管理システム
KR101000939B1 (ko) 공정 모니터링 장치와 그 방법
JP2006073751A (ja) プラズマクリーニング処理の終点検出方法及び終点検出装置
JP4845816B2 (ja) プラズマ処理装置
US20240118328A1 (en) In-situ electric field detection method and apparatus
Abraham et al. Electrical and plasma property measurements of a deep reactive ion etching Bosch process

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid