JP2007502519A - プラズマプロセス環境におけるプラズマ特性測定用センサアレイ - Google Patents

プラズマプロセス環境におけるプラズマ特性測定用センサアレイ Download PDF

Info

Publication number
JP2007502519A
JP2007502519A JP2006523362A JP2006523362A JP2007502519A JP 2007502519 A JP2007502519 A JP 2007502519A JP 2006523362 A JP2006523362 A JP 2006523362A JP 2006523362 A JP2006523362 A JP 2006523362A JP 2007502519 A JP2007502519 A JP 2007502519A
Authority
JP
Japan
Prior art keywords
plasma
sensor
sensor array
dfp
probe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006523362A
Other languages
English (en)
Other versions
JP5015596B2 (ja
JP2007502519A5 (ja
Inventor
レオナルド マホーニー,
カール, ダブリュー. アルムグレン,
グレゴリー, エー. ロッシェ,
ウィリアム, ディー. スプロウル,
ヘンドリック ヴィ. ウェルデ,
ウィリアム, ダブリュー セイラー,
Original Assignee
オンウェハー テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by オンウェハー テクノロジーズ インコーポレイテッド filed Critical オンウェハー テクノロジーズ インコーポレイテッド
Publication of JP2007502519A publication Critical patent/JP2007502519A/ja
Publication of JP2007502519A5 publication Critical patent/JP2007502519A5/ja
Application granted granted Critical
Publication of JP5015596B2 publication Critical patent/JP5015596B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマプロセスシステムは、プラズマ特性のその場測定を行う診断装置を備える。この診断装置は、通常、プラズマプロセスチャンバ内に配置された非侵襲性センサアレイと、このセンサを刺激する電気回路と、プラズマプロセスをモニタまたは制御するために、センサの測定値を記録して通信する手段とを備える。一実施形態では、このセンサは、入射荷電粒子電流と電子温度とを、プロセスシステム内のプラズマ境界近傍で測定する動的にパルス化したデュアルフローティング・ラングミュアプローブである。このプラズマ測定値を用いて、プロセス用プラズマの状態をモニタしてもよいし、プラズマプロセスを制御するのに用いるために、このプラズマ測定値をプロセスシステムコントローラに提供してもよい。
【選択図】図1

Description

発明の背景
本発明は、一般的に、プラズマプロセスシステムに関し、より詳細には、プラズマプロセスシステムにおけるプラズマ特性をその場(インシチュ:in−situ)測定する装置および方法に関する。
シングルエンドのラングミュアプローブおよび他の診断プローブ法は、プラズマ特性、特に減圧プロセスシステムで用いられるプラズマ特性を診断するために業界で広く用いられてきた。この測定技術に関しては、SwiftとSchwarの『Electric Probes for Plasma Diagnostics』(American Elsevier, New York, 1969)およびHershkowizの『Plasma Diagnostic, Vol. 1, Discharge Parameters and Chemistry』(AuccielloおよびFlamm編、Academic, New York, 1989, pp. 113−183)などの参考書に詳細が記載されている。また、プラズマプロセス環境をモニタするために、プラズマの特性評価に通常必要なプローブの操作を伴うシングルエンド・ラングミュアプローブ診断法を使用することも公知である。例えば、米国特許第4,006,404号では、Szuszczewiczらが、サンプリングと表面汚染に関する問題が生じないように、パルス変調を用いたシングルエンド・ラングミュアプローブの励起について記述している。また、米国特許第5,339,039号では、Carlileらが、RF電力により発生させたプラズマ環境で操作するために、高周波(RF)補償と同調フィルタリングとを含むシングルエンド・ラングミュアプローブについて説明している。さらに米国特許第5,167,748号では、Hallが、1つまたは複数のシングルエンド・ラングミュアプローブを用いて、プラズマ状態をモニタするために荷電粒子密度と電子温度とを測定し、それを用いてプロセスシステム内でのプラズマ形状を制御している。
最近では、半導体減圧プロセスチャンバなどのプラズマベースのプロセスシステムに用いられる各種構成部品に対する診断プローブのアセンブリについて説明されている。例えば、この種の研究に関しては、Lowenhardt等の米国特許第5,451,784号があり、その特許では、プラズマプローブとイオンエネルギー分析部とが診断用の合成ウエハに含まれ、そのウエハは、試料表面近傍のプラズマ特性を評価するためにプラズマプロセスシステム内に配置される。同様に、米国特許第5,989,349号では、Keらが、プラズマからのイオン電流とDCバイアス電位とをモニタするために、半導体ウエハのプロセス診断のために台座に埋め込まれた平面プローブの使用法について述べている。また、米国特許第5,471,115号では、Hikosakaらが、プラズマ中の電子の絶対密度を測定する高周波プラズマ振動プローブを用いて、RF電力、ガス流量、あるいは動作圧力を調整できる主制御システムにその情報をフィードバックする目的で、プラズマ特性を測定する方法について説明している。さらに、米国特許第5,936,413では、Boothらが、堆積物、プローブ表面の汚染、およびプロセス中のプラズマ擾乱が生じないようにしながら、RF電圧波形で励起される容量分離型平面プローブを用いてプラズマ特性を取得することについて説明している。
上記の技術いずれにも、市販のプラズマプロセスシステムにおけるプラズマ状態のリアルタイム測定を得たい場合に、実用性が制限されるという限界がある。材料プロセスを行っている際のプラズマ特性を本質的に乱すことになるプラズマ本体に差し込むプローブを用いる必要があるという点で、これらの測定装置の多くは侵襲性である。さらに、特にプローブがプラズマ電位で、あるいはプラズマ電位近傍で順方向バイアスをかけられている場合は、あらゆるシングルエンドプローブの使用そのものが侵襲的であり、プロセス中のプラズマ本体の電気的構造を必然的に擾乱状態にする。このような技術の多くは、非腐食性プラズマまたは非堆積性プラズマの実験的特性評価を目的とし、市販のプロセス条件下でのプラズマ特性値を求める目的ではない。最後に、これらの技術は、通常、プラズマ特性をモニタする点で単一のアプローチしか提供せず、プロセス中のプラズマのその境界での大域的な特性または空間的な特性を求める点では何の手段も提供しない。
発明の概要
本発明は、プラズマプロセスシステムにおけるプラズマ特性のその場測定を行うための装置および方法を提供する。この診断装置は、実質的に非侵襲性であり、また、プロセス中のプラズマ特性をモニタするのに必要な多くの領域に利用でき、プロセス制御に必要な方法でこの特性に関するデータを提供するように構成することができる。このセンサは、通常、プラズマプロセスチャンバ内に配置された物理センサアレイと、このセンサを刺激する電気回路と、プラズマプロセスをモニタするためか、制御するためにセンサの測定値を記録して通信する手段とを備える。
本発明の一実施形態では、アレイ状のデュアルフローティング・ラングミュアプローブ(DFP)センサが、プラズマプロセスシステム内に配置される。このDFPセンサは、プロセスシステム内のプラズマ境界部近傍で入射荷電粒子電流と電子温度とを測定する。また、このDFPセンサは、プラズマ媒体にできるだけ擾乱を生じさせないように、プラズマ電位に対して静電的に浮遊した状態である。その結果、測定装置は、プラズマプロセスに対して非侵襲性である。本発明のセンサアレイは、例えば、プラズマ発光スペクトルおよび粒子による光散乱を測定するための光学センサ、吸収スペクトル用の光センサ、壁面温度および表面温度を化学触媒的に検知するための熱センサ、圧力、膜厚、および堆積速度を測定するための表面音響波センサ、化学的接触センサ、質量分析、およびイオンエネルギーや振動を測定するための微小電気機械システムを備えてもよい。
本発明の一実施形態では、診断用センサが、真空プロセスシステムのチャンバライナの一部に沿って配置される。他の実施形態では、センサアレイは、チャンバの絶縁分離リング(すなわち「フォーカスリング」)か、ガス圧入リングの周りに配置することができる。あるいは、基板ホルダまたは基板「チャック」の一部の上に配置することができる。また、このセンサは、チャンバの壁面内に配置してもよい。さらに、このセンサデバイスは、修理や交換のために、駆動用電子機器および電気的なフィードスルーから取り外しおよび分離が可能であるように構成してもよい。
センサアレイを利用することによって、本発明は、プラズマ本体の境界部回りにある複数の位置からイオン電流束や実効電子温度などの診断データを得る機能を提供する。本発明はまた、プラズマ本体の複数の境界部周りでプロセス中のプラズマの境界特性をモニタする機能も提供する。このようにして、本発明は、プロセスをモニタして制御するために、プラズマの大域的または空間的な特性、およびこの特性の変化を導出するのに用いることができるデータの取得を可能にする。
本発明の診断用センサは、エッチングプロセスでよく見られるように、化学的な腐食を抑制するためにチャンバ内に保護層が必要な場合や、あるいは堆積プロセスであるように、光学的に不透明であるか電気的に絶縁性である膜または被膜が形成される場合のいずれかでのプラズマプロセスシステムに用いるのに適する。センサ上部に被膜または堆積膜の形態で誘電体層があったとしても、このセンサは、プラズマ特性を測定する際には、プラズマの電気的環境と容量結合した状態のままである。従って、本発明は、エッチング、プラズマ化学気相堆積、反応性スパッタ堆積、および導電材料のスパッタ堆積に用いられるシステムなどのさまざまなプラズマプロセスシステムでの使用に適合することができる。
本発明の一実施形態では、マルチチャネルの(多重化した)回路が、アレイ内のセンサに電気的刺激を与える。このセンサは、正弦波信号、双極性パルス信号、または小振幅の鋸歯状信号などのさまざまな交流励起波形で駆動することができる。また、このセンサは、直接的に刺激してもよいし、容量または変圧器で分離した状態での電気接続を介して刺激してもよい。本発明の実施形態にはまた、センサの多重化を制御し、診断測定を誤らせる可能性があるプラズマに起因する不要なRF信号をフィルタリング除去する手段が含まれる。
本発明はまた、パルス化RFまたはパルス化DC電力を用いるプラズマプロセスシステムで診断データを得る機能を提供する。本発明の一実施形態では、センサの刺激、およびセンサからのデータの取得は、プラズマに電力を与えるRF電源の周期的なパルスにリンクまたはゲーティングされる。プラズマ特性の測定値は、RFパルスのオン/オフ時いずれの間も取得することができる。このようにして、例えば、荷電粒子密度の立ち上がり時間や減衰時間などのプラズマ源システムの時定数を導出してもよい。本発明の別の実施形態では、センサの刺激および読み取りは、プラズマに電力を与えるDC電源の周期的なパルスにリンクされ、あるいはゲーティングされる。この場合、測定値は、DCパルス化プラズマの動作に共通に関係する「−DCオンタイム」または「+DCリバースタイム」の間に取得してもよい。パルス化RFシステムおよびパルス化DCシステムのいずれの場合でも、荷電粒子密度、見かけ上の電子温度、およびプラズマシステムの各種時定数などのダイナミクスを検知することができる。
本発明のセンサアレイおよび他の装置は、プロセス用プラズマの状態をリアルタイムでモニタするのに十分な速度と感度とを持つ測定を行うのに有用であり、プラズマプロセスシステムおよびそのサブシステムを制御するためにセンサからのフィードバックを可能にするのにも有用である。本発明が提供する一方法では、センサアレイからの信号を行列として記録および保存し、この行列の各要素は、アレイ内の特定のセンサ対と関連する情報を表す。次に、各項からなるこの行列を評価することによって、プラズマプロセスをリアルタイムでモニタする、あるいは外部の制御システムの動作を介してリアルタイムでフィードバックするのに必要とされるプラズマの空間的特性を求めることができる。
本発明は、あらゆる種類の減圧プラズマベースのプロセスシステムに適用することができる。この例としては、あらゆるICウエハプロセスシステム、単一または複数のメモリディスクへのコーティングシステム、光エレクトロニクスプロセスシステム、ガラスの定置洗浄または移動洗浄、ウェブコーティングシステムまたは表面処理システム、あるいは使用ガスや試料がプラズマ処理されるあらゆるプラズマプロセスシステムが含まれる。さらに、本発明は、システム内に導入したガスを、プラズマを用いて励起する機能を有するプロセスシステムに、あるいはUV光などの放射光を生成する目的にも適用できる。
詳細な説明
図1に、プラズマプロセスシステム14の内部境界周りにあるデュアルフローティング・ラングミュアプローブ(DFP)センサ11から構成されるアレイ12を用いて、入射プラズマ電流束をリアルタイムに測定することが可能な装置10の一実施形態を示す。この具体的な図面では、2つのセンサアレイ12が示され、そのうちの1つのDFPセンサアレイは、チャンバライナ18に沿って配置され、他の1つのDFPセンサアレイは、いわゆる「フォーカスリング」または絶縁分離リング20に沿って配置される。このプロセスシステム14は、真空プロセスチャンバ16、排気用マニホールド22、真空プロセスチャンバ16の上部に、または真空プロセスチャンバ16と接続して搭載されたプラズマ源24、ガス供給用マニホールドまたはガスシュラウド(図示せず)、プラズマ源とシステムとに接続されたガス流、温度管理および電力の供給28、およびウエハまたは試料用のチャックまたは搭載ステージ30からなる。半導体プロセスシステムの場合、試料用のチャックは、取り付け手段、RF電力、冷却液、昇降用のピン機構、およびヘリウムを用いた裏面の熱伝導機構を含んでもよい。さらに、ウエハまたは試料36を操作して正確な位置に配置するための機械ロボットを備えたロードロック方式の移送ステージ34を含んでもよい。実施する際には、プロセスチャンバ16に実際にウエハまたは試料36があろうが無かろうが、装置10に組み込まれたセンサを用いることができる。
DFPセンサアレイの出力38および40は、装置に用いるための刺激用電子機器と、マルチプレクサと、データ収集と、マイクロプロセッサ制御とを収納する外部の電子サブシステム42に接続される。次いで、この電子サブシステム42の出力44は、セントラルプロセッサまたはコンピュータ46に接続される。DFPセンサ装置は、実際のプラズマプロセス時に作動できるので、セントラルプロセッサまたはコンピュータ46は、この装置からのデータを用いて、プロセス状態のモニタを行い、また、リアルタイムでフィードバックを行ってプラズマプロセスシステムへのさまざまな入力信号を制御することができる。電子サブシステム42は、別に筐体を持つ他の1台の電子機器またはコンピュータ48に付属する専用のマイクロエレクトロニクス拡張カードでもよい。減圧(<100Torr)下での気体放電またはプラズマ50がプロセスチャンバ内で開始されると、装置を用いて、ローカルなDFPセンサパッド対11の間を流れるDFP電流を、あるいはプロセスチャンバ16内部周りにあるセンサアレイ機構12内のいずれかの組合せである非ローカルなセンサパッド対の間を流れるDFP電流を検知する。後で説明するように、DFPセンサ11は、外部の電子機器42からの双極性パルス励起と直接結合または容量結合することができ、このDFPセンサ表面にプラズマプロセスにより絶縁被覆が堆積したとしても、それ自体は動作することができる。
図2は、プラズマプロセスチャンバのプロセス領域に配置されたDFPセンサアレイ12の実施形態を示す上面図および断面図である。図2では、半導体ウエハ36が、プロセスチャンバ16内の基板チャック30上にセットされる。プラズマに電力を供給してプラズマを持続させるプラズマ源(図示せず)はあらゆるタイプでよく、DCプラズマ源、DCまたはRF励起ホローカソードプラズマ源、カソードアークプラズマ源、マグネトロンスパッタ源、グリッドまたはグリッドレス大面積イオン源、容量駆動電極で操作されるプラズマ源、誘導結合型またはフェライトベースの誘導結合型プラズマ源、電子サイクロトロン共鳴マイクロ波プラズマ源、表面波プラズマ源、あるいはRFヘリコンプラズマ源がある。
図2の実施形態では、DFPアレイ12は、プロセスチャンバライナ18上に配置され、また基板ホルダ30を取り囲む絶縁リング20にも組み込まれる。このリングは、場合によっては「フォーカスリング」と呼ばれ、ウエハまたは試料の端部近傍でのプラズマ特性やプロセスガスの流体動作を抑制する。DFPセンサには、電気的に絶縁された真空シールの接続部38および40があり、それらは、真空プロセスチャンバの外部に延伸する。DFPプローブの接続部には、RF共鳴受動チョークフィルタ素子(図示せず)を含むことができ、それを用いることによって、プローブ11は、内壁18上の他の浮遊表面またはフォーカスリング20の表面と同様の方法で、あらゆるRFまたはAC電場の変動に追随できる。このようにして、プロセスチャンバの内部境界周りに、DFPセンサ12からなるあらゆるアレイを空間的に構成することができる。もちろん、DFPセンサは、プラズマ源(またはプラズマ本体)と、プラズマプロセスチャンバで処理されるウエハまたは試料36とのいずれかに適度に近いことが望ましい。
本発明による他の実施形態を図3に示す。この図では、1つのセンサアレイ12が、ウエハまたは試料36用のチャックまたはホルダ30に組み込まれる。このチャック30上のDFPアレイへの接続52は、チャック本体を通して配線される。もし、適切なRFフィルタリング方法(好ましくは、RF共鳴チョークフィルタおよびローパスフィルタ)が、アレイ52への接続部に適切にインラインで組み込まれるのであれば、DFPアレイ12は、半導体または材料を処理する各種方法において多くの場合に必要なように、ウエハホルダ30にRFバイアスが加えられたとしても機能できる。図3にはまた、プロセスチャンバライナ18上の第2のDFPアレイを示し、この第2のアレイは、ウエハまたは試料チャック上に配置されたアレイとともに用いることができる。
プラズマ本体の境界部付近でのプラズマパラメータ、およびその空間的および一時的な変動についての知識は、プラズマベースのプロセスダイナミクスを理解するのに有用である。イオン電流束、荷電粒子密度、電子エネルギー(または見かけ上の電子温度)、およびイオンエネルギーなどのプラズマパラメータは、表面の改質、堆積、またはエッチングの速度や質に直接的に影響を及ぼすプラズマの物理的なパラメータである。本発明の一実施形態では、デュアルフローティング・ラングミュアプローブ(DFP)を用いてプラズマの物理パラメータを収集する。DFPによって、電気的な共通電位または接地電位とは接続されずに電気的に浮遊できる2つの導電性プローブ間で、プラズマの電流電圧(I−V)特性の測定が可能になる。
図4aおよび4bに、1つのDFPセンサの基本的な動作原理を説明する。2つの導電性平面プローブ13がプラズマ50に曝される。浮遊バイアス電位62が、このプローブ間に誘起されて正味電流64をプラズマ50とDFPセンサ回路とに流す。比較的高いバイアス電位の場合、負にバイアスされたプローブへ電子電流72が流れないために、正味のDFP電流は、そのプローブ表面へのイオン電流束70により制限される。これがイオン飽和電流として知られている現象である。第2のプローブへのイオン電流74および電子電流76は、プローブの浮遊条件を満たすために全プラズマ電流が合計してゼロになるように補償される。プローブが幾何的にほぼ対象であり、プラズマがプローブ面全体にわたって比較的均一な特性を有する場合は、I−Vトレース80が得られる。図4bに示すように、標準的なDFPプローブのI−Vトレースは、バックツーバック・ダイオード対と同様な特性である。飽和電流82および84は、イオン飽和電流70および74にそれぞれ対応する。このI−Vカーブの中間領域86は、電子電流72および76により決定され、見かけ上の電子温度<T>を決定するのに用いることができる。この見かけ上の電子温度は、DFPセンサパッド13の表面での電子エネルギー分布における「高エネルギー」の電子数の指標である。
対象的なデュアルフローティングプローブについて、バイアス電圧に対する電流特性は、次の式によって近似される。
Figure 2007502519

ここで、I satはイオン飽和電流、Vはバイアスが無い状態でのプローブの浮遊電位、VDFPは別個に印加されたプローブ電圧、Tは見かけ上の電子温度である。式1は、バイアス電位の増加に伴う有効プローブ領域の拡大、非対象的なプローブ領域、不均一なプラズマ、および非マックスウェル電子エネルギー分布を含む非理想的な状態を考慮するために修正してもよい。式1に帰結する通常の分析は、DCフローティングDFPの場合に対してであるが、強いRF電場があり、かつRFフィルタリングを用いてDFPセンサがプラズマプロセスに内在するRF電位のゆらぎに追随できるプラズマ環境にも適用可能である。
実用的なその場センサに関するDFP診断技術を実施するためには、フローティングプローブのバイアス手段を設けることが必要である。一例を図5に示す。図5では、DFPセンサパッド13には、双極性の方形波パルス電源90から電力が供給される。実際のプローブ面は、電源に直接接続してもよいし、あるいは分離キャパシタ92および94を間に介して容量結合してもよい。この直列キャパシタ92および94は、方形波パルスの供給が浮遊していれば任意となる。この容量素子は、また、例えば、堆積した誘電体膜または陽極酸化被膜の形で現れるような、プローブ表面上に現れるあらゆる誘電体層のことを意味してもよい。強いRF信号またはRF誘起のセルフバイアスが関係する場合は、直列接続のRF共鳴チョークフィルタ96および98(つまり、ローパスフィルタと直列接続された一続きの並列同調キャパシタ−インダクタ素子)を組み込んで高インピーダンスを実現し、RF周波数および関連高調波を選択することができる。最後に、コモンモードチョーク100を組み込み、フローティングセンサのリード線間のあらゆるノイズを遮蔽することができる。
図5の回路構成を用いて、最大振幅を制御した双極性パルス信号90によりDFPセンサパッド13を刺激することができる。この刺激により、DFPセンサは、サンプリング用抵抗106の両端の電圧として、あるいは発光ダイオードで検知することが可能な電流102を、プラズマ50を介して供給する。励起電圧レベル108も同様にサンプリングすることができる。パルス励起によって、DFPセンサ面またはそのリード線間のあらゆる並列寄生容量と関連する非ゼロのシャント容量112に付随する高周波電流成分110を与えることができる。一般的には、シャント容量は小さくしておくことが望ましい。ただし、いずれの分離キャパシタ92および94の容量値もシャント容量112の値よりも実質的に大きな場合は、DFPセンサ電流102を全電流114から分離することができる。適切な遅延、サンプリング、および保持方法を用いれば、薄い誘電体被覆膜がDFPセンサパッド表面に現れた場合でさえ、DFPイオン飽和電流値を読み取ることができる。さらに、DFPセンサの励起電圧レベルを制御する場合は、DFPセンサのI−V特性を求めることができ、それによって、見かけ上の電子温度レベルを検知することができる。
DFPセンサに、シャント容量と同様の、あるいはシャント容量未満の正味の直列容量値を有する誘電体被覆膜が成長するような場合は、シャント電流をDFPセンサ電流から分離するのはより困難になる。プローブ面に厚い誘電体薄膜が形成されていく過程で、プローブ面をクリーニングするか、このプローブをプロセスシステムから取り除く必要がある場合がある。プローブ面またはアレイ機構は、プロセスチャンバからの着脱が可能であり、さらに従来のプロセスチャンバのクリーニング方法に準拠した材料から作製されることが好ましい。例えば、カーボンやシリコンの堆積を含むPECVDプロセスでは、それぞれ酸素ベースの、あるいはフッ素ベースの化学反応でクリーニングできる材料からDFPプローブを作製すると便利である。
図6a、6b、および6cに、DFPセンサパッドのさまざまな構成を示す。図6aには、面積が約0.1〜10cmの実質的に平面なプローブを組み込んだ1つのDFPセンサパッド13を示す。このプローブは、この図では参照番号118で表すプロセスチャンバの壁面、内壁、あるいは試料チャック上の全ての導電性または陽極酸化した境界から絶縁分離される。プローブセンサもまた、絶縁体120と、プローブを境界面から空間的に分離する真空ギャップ122とを含むことが可能である。このギャップにより、フローティングセンサが、堆積プロセス中の動作時に、接地電位になったり、あるいは他の導電性境界と容量結合したりすることがないようにする。ギャップの幅は、通常、プローブ近傍のプラズマの1〜10デバイ長オーダー(通常、適用可能なプロセス条件に対して約0.1〜1mm)である。センサパッド13への電気接続部124は、必要であれば点検や交換のためにプローブの取り出しを可能にする機構を備えて、プローブの裏面に位置する。図6bには、エッチングプロセスやプロセスチャンバのクリーニングプロセスで通常は必要となる誘電体層または陽極酸化層を有する導電性電極から製造された同じDFPセンサパッド13を示す。図6cでは、DFPセンサは、スパッタされた導電性被覆膜が形成されないように、前方にマスク機構130を含む。このマスクがなければ、導電性被覆膜が分離ギャップ内および絶縁体表面上に堆積され、いずれフローティングDFPセンサが他の導電性境界とショートする、あるいは平面DFPセンサの収集領域が拡張し、DFP測定で重大なエラーを生ずることになる。
DFPセンサを動作させるためには、外部の電子システム42は、アレイに対してプローブバイアスを供給し、さまざまなDFP電流を収集する必要がある。この回路アーキテクチャの一例を図7に示す。この例では、外部回路は、A/Dサンプリング機能を備えたセントラルマイクロプロセッサ140、DFPプローブ信号調整回路を備えた双極性パルス源142、マルチプレクサ144、および回路中のさまざまな構成要素への入力電力を調整するDC電源146とDC/DCコンバータを含む。他の構成要素としては、メモリ、内部クロック152、および外部との通信用の電子機器154が含まれる。多重回路を用いて、双極性パルス波形を、有線接続156を介して、アレイ12上のDFPセンサパッド13の適当な組合せに対して送信する。通信用電子機器154を用いた外部との通信は、有線を用いても、無線を用いてもよい。マイクロプロセッサは、それ自身のタイミングあるいはゲート時間と対比して、シャント容量による高周波の過渡的エラーが生じないように、またDFP電流およびバイアス電圧信号をサンプリングして平均化できるように、A/D変換をいつ実行するかを判断する。ここで、図7の回路には、本発明の一実施形態と関連して図5で説明した接続部156と直列にある任意のコモンモードチョーク、RFフィルタ、あるいは分離キャパシタは示されていないことに留意されたい。
図8に、本発明のセンサ装置が収集することができるDFP信号データ170の行列を示す。例えば、この配列の対角線に沿った各電流項 Ii,i 172は、ローカルなDFPプローブセンサパッド対の間で測定したイオン飽和電流の大きさの平均を表すことになる。
Figure 2007502519

非対角項の電流 Ii,j 172および176は、アレイ中の非ローカルなDFPセンサプローブに関連する同様に平均化されたイオン飽和電流項となる。このプローブ間で刺激された電流は非ローカルであり、プラズマ本体の大部分に伝導する必要があるため、これらの電流項は、プラズマ本体のバルク状態に関する付加的な情報を有する。あるいは、この配列はまた、Vbiasのパルス振幅を比較的小さなものとした場合、I−Vデータから得た実効的電子温度データを有することになる。
電流項からなる配列170の数学的・統計的な処理を行うことにより、プラズマの境界でのプラズマ状態に関する重要な情報と、それほど重要ではないが、プラズマ本体のバルク伝導度に関する情報とを提供することができる。電流項配列からの結果を、プラズマの単純なパワーバランスモデルまたは複雑なパワーバランスモデルのどちらかと関連付けることにより、装置を用いて、リアルタイムでのプロセス制御に対するフィードバック情報を得ることができる。例えば、フィードバック情報を用いて、プロセスに投入する電力の設定値を制御することができる。この機能を実行するためには、複数のDFP I−Vセンサから得た情報を、多くの情報処理アーキテクチャのうちの1つを用いて分析してもよい。1つの情報源は、全てのI−Vプローブ170から読み取ったデータからなる行列から構成される。上記のように、これは、M×M階の正方行列である。なお、ここでMはプローブの数である。対角項172は個別のプローブセンサ各々の測定値であり、非対角項174および176は、j番目のプローブで起動したパルスから受けたi番目のプローブでの測定値である。この行列の構造は、コレスキー(Cholesky)分解、分別分解、QR分解、シュミット(Schmidt)分解、三角分解、あるいはUDR分解などのいくつかの分解手法を用いて分析することができる。また、特異値分解は、固有ベクトルおよび共分散行列を導出するために用いる他の手法である。この分析は、プロセスの指紋としての役割を果たし、プロセスおよびプラズマの隠れた状態を推定するのに用いられる。さらに、この技術は、プラズマ状態でのスナップショットをもたらす。
プローブデータの他の構成としては、N×M行列がある。なお、ここで、Mはプローブ数であり、NはM個のプローブ各々に対する一時的なデータ値の数である。このベクトル値の時系列は、制御工学用途では標準的な、通常の部分空間投影法を用いて分析する。これら信号の分析は、プロセスの閉ループ制御、および観測されない状態変数に対する推定量の構築に用いられるフィードバック不要の外部信号に基づく構成にまで拡張することもできる。また、拡張カルマンフィルタと、非線形推定量およびフィルタを用いて、I−Vプローブの読み取りデータからなる行列からデータを処理することもできる。この配列は、時間、励起電圧、電流極性などの他のベクトルを有するあらゆる次元を取ることが可能である。
DFPアレイ装置を情報処理手法と組み合わせることによって、この装置は、プラズマの状態を動的に追跡するために用いることができるプロセスのリアルタイム情報を提供する。このプラズマの状態は、もちろん、圧力バースト、流量計のオーバーシュート、プラズマ化学反応の過渡的な不均衡、および皮膜や薄膜の堆積や形成による正味の電力供給におけるドリフトなどのプロセス中の事象により影響を受ける場合もある。そのような影響の追跡および調整は、製品収量およびプロセスシステムの使用時間を増加させる目的と共に、ランツーラン(run−to−run)性能、プロセスの適応化と最適化、および問題があるシステムのトラブルシューティングを改善する目的で、その場センサ素子によって収集した情報を用いて実現することができる。
図9に、本発明の実施形態による、ウエハ用プラズマプロセスシステム内のプラズマ状態をモニタする、あるいは制御するためのセンサ信号の使用法を示す。この実施形態では、集積回路ウエハ36を処理するのに用いるプラズマプロセスシステム10は、センサアレイ12を備える。また、電子サブシステム42が設けられ、センサアレイ12から収集した信号を、プラズマの境界状態に関するセンサからのフィードバック情報という形に処理し、それは次に、システムコントローラまたは電子データサーバ180に提供される。センサからの入力信号の処理は、リアルタイムに実行することができる。制御アルゴリズムを使って、システムコントローラ180は、本発明のフィードバック情報を用いてプラズマ源182に対する電力入力レベル、システムのマスフローコントローラ184に対するガス流量レベル、またはウエハチャック・サブシステム186に対する電力およびバイアスレベルの調整を行う。システムコントローラが影響を及ぼす他のサブシステムまたは要因には、圧力コントローラ、ポンプシステム、およびプロセスステップの動的時間を含むことができる。プロセス用の電子機器42が、図9では個別の電子機器として示されるが、この電子機器を、部分的にまたは全体的にシステムコントローラ(または電子データサーバ)180の機構と機械的に組み合わせてもよいし、プラズマ源またはウエハチャック・サブシステム用の電源サブシステム内に構成してもよい。
本質的に、DFPセンサは、正しく起動され構成された場合は、プラズマ特性にほとんど揺らぎを及ぼさない。このセンサは、停止中の場合、プロセスチャンバの壁面近傍の絶縁分離面または陽極酸化面と同様に浮遊電位に帯電する。ただし、プローブが薄型で、その端部が平滑な場合は、このプローブは、半導体、メモリ、あるいは光学製品のプロセス中に粒子欠陥を生じる可能性がある大小さまざまな荷電粒子を捕獲する電気的境界として機能することはできない。
プローブを起動する際、プラズマに投入される全エネルギーは非常に小さい。例えば、2kWで稼動する無電極放電を有するプロセスチャンバでは、プラズマ密度は、1011cm−3のオーダーで、イオン飽和電流密度は1mA/cm程度である。2.5cmのDFPセンサ面積に対し、デューティサイクルが20%で、かつパルスのオンタイムが約0.5msecのDFPセンサでの実効パルスバイアスが約±40Vの場合を考慮されたい。リアルタイム連続動作の場合、この条件は、最大電力密度約10〜20mWを意味する。その場合にも、この電力はバルクプラズマ中には配分されず、むしろそのほとんどが、プローブ表面へのイオン衝撃を介してDFPセンサの加熱に配分される。最後に、DFPは、プロセスチャンバ壁面での自然浮遊電位近傍、またはそれ未満で動作するラングミュアプローブ法なので、プラズマ本体の静電構造への擾乱は全くないか、あったとしてもごくわずかである。上記の特徴と考察とを考えると、DFPセンサ装置は、プラズマ本体に関するリアルタイムでかつその場での検知情報を引き出して、プラズマプロセス状態の様子をモニタまたは制御しつつも、プラズマベースのプロセスにはほとんど影響を与えない。
上記の図面や例では、DFPアレイは、プローブ1のIsatがプローブ2のIsatと、あるいはプラズマプロセスチャンバの境界近傍に対象的に配置した他のプローブのIsatと大きさの点でほぼ等しい双極性電流波形を原則的に有する一対の類似した平面フローティングセンサパッドを備えるセンサからなるものとして表されてきた。しかし、本装置に関する別の変形形態には、共通の戻り電子電流パッドを備えたシングルDFPセンサパッドからなるアレイがある。この場合、プローブセンサパッドからなるアレイとパルス信号電子機器は、パルス回路が各パルス励起に対して放電して再トリガをかけることを可能にする追加スイッチングを備えた単極性なので、共通パッドは、同様の機械的形状をした同じ面積である必要はない。この構成は、実行するにはいくぶん単純だが、図8の電流行列170の対角項のみを満たす電流項配列を与える。
本発明は、パルス化RFまたはパルス化DC電力駆動を用いるプラズマプロセスシステムにも利用することができる。本発明のセンサアレイが、パルス化電力によるプラズマシステムで用いられる場合は、センサからのデータ収集を、このプラズマプロセスシステムのパルスダイナミクスと同期させるのが望ましい。この同期化は、パルス駆動を用いることによって、プラズマプロセスシステム中での荷電粒子のダイナミクスに周期的な変化が引き起こされるので好都合となる。同期サンプリングを行わなければ、個別の、あるいは時間平均を取ったセンサの読み取りデータには、パルス化電力に対してずれたこと(エイリアス)からくるノイズが含まれることになる。また、同期ゲーティング/サンプリング法を用いてパルス化電力が印加されている範囲内のデータを取得することによって、パルス周期のいたるところで、イオン飽和電流や実効電子エネルギーなどのプラズマ特性の推定を行うことができる。
図10に、パルス化RF電力を用いたプラズマプロセスシステムでのプラズマ特性を測定するようになされた本発明の実施形態を示す。パルス化RF駆動中に観察される通常のRF電力波形のエンベロープ200に対して、プラズマは、時間依存性を持つ実効電子エネルギー202およびイオン飽和電流204を示す。パルス化RFプラズマプロセスシステムのパルス周波数は、通常、約100Hz〜約10kHzの範囲で、パルス化DFPセンサの好適な励起周波数1〜20kHz未満である。この場合、双極性パルスで励起したDFPセンサが測定するイオン飽和電流は、単一のエンベロープ206として観察されることになる。システムのパルス周波数およびセンサ励起周波数に関して、一時的なセンサ信号を適切にゲーティング、遅延、および保持すれば、プラズマパルス周期のいたるところでプラズマ特性の同期サンプリングを行うことができ、それを用いてプラズマ特性の動的な値または時間平均値に展開することができる。パルス化RF電力のタイミングに同期トリガをかけることによって、収集したセンサ読み取りデータに存在する電力パルスに対するエイリアスに起因するノイズを避けることができ、この読み取りデータを、プラズマ状態の真の過渡的ダイナミクスをより正確に表すものとすることができる。
図11に、マグネトロンスパッタまたは大面積イオン源に用いられるシステムなどのパルス化DC電力を用いたプラズマプロセスシステムで用いるようになされた本発明の実施形態を示す。これらの用途では、パルス化DC電位210は、例えば、DCプラズマ源の陰極表面を周期的に放電するように、比較的大きな負電位から逆の電位(やや正の電位)へと少しの間切り替わる。(この技術の一例は、米国特許第5,718,813号に説明がある)。従って、このシステムのプラズマは、時間依存性がある実効電子エネルギー212およびイオン飽和電流214を示す。パルス化DC駆動では、パルス周波数は、20kHz〜400kHzの間のどこでもよく、その値は、通常、パルス化DFPセンサの好適な励起周波数よりもかなり高い値である。その結果、プラズマ特性の動的な応答は、波形216に示すように、パルス化DFPの1回の時間周期内で数回繰り返される。ただし、DFPセンサの読み取りデータを正しくトリガ、ゲーティング、および時間遅延することによって、センサ信号のサンプリングは、パルス化DC電力の波形パターンと同期させることができる。このようにして、ノイズを低く抑え、かつプラズマ状態の真の過渡的ダイナミクスをより正確に表すセンサの読み取りデータを収集することができる。
RFパルスあるいはDCパルスのいずれを用いても、本発明によるセンサの同期サンプリングに対して、トリガまたはゲーティングを行うための外部信号をいくらでも利用することができる。図12に示すように、一実施形態では、プラズマ源装置から、あるいは電源とプラズマ源との間のどこからでも外部電流信号および外部電圧信号220を用いてセンサのサンプリングを同期することができる。また、プラズマの発光強度を表す信号を用いてもよい。あるいは、内部制御回路または電源のユーザインタフェースから利用可能な出力「ON/OFF」信号または「−DC/+DC」信号222を用いてセンサのトリガまたはゲーティングを同期してもよい。あるいは別の実施形態では、プラズマ本体と接触している独立したフローティングプローブ224を用いて、パルス化電力によるプラズマ本体からの信号を検知することができる。この実施形態では、独立したフローティングプローブセンサは、本発明のセンサアレイ機構の一部として含むことができる。これらの実施形態のいずれにおいても、外部信号は、シグナルコンディショナ、アイソレータ、またはバッファ226を介して、センサアレイ処理回路42にパルスイベントを伝える。以上の実施例において、同期サンプリングは、センサアレイからのデータ収集を正確にゲーティングするために、電力パルスを表す1つまたは複数の外部信号をパルス化センサアレイシステムからのパルス信号と組み合わせることによって実現することができる。
反応性スパッタ堆積プロセスで用いる本発明の実施形態を図13に示す。この図では、プラズマ源24は、プロセスシステム10内で試料36上に反応性被覆膜または膜を堆積するために用いるマグネトロンスパッタ源である。マグネトロンスパッタシステムは、本質的に多変数なので、堆積プロセスの状態をモニタして制御するための手段として、プラズマ検知信号を用いるのが望ましい。このために、1つまたは複数のDFPセンサアレイ12からのイオン飽和電流および電子温度の測定値を、電子機器42を介してリアルタイムに取得する。あるいは、前記の本発明による他の種類のセンサからの信号を用いてもよい。このリアルタイム測定値は、図9で示した実施形態との関連で前述したように、一連のフィードバック信号230として、多変数入出力制御モジュール180を介して他のサブシステムに与えられる。検知信号は、リアルタイム制御のための状態推定量としての役割を果たす。反応性スパッタの場合は、イオン飽和電流の平均測定値または動的測定値は、電力設定、圧力設定、および流量設定に大きく依存することが知られているので、イオン飽和電流の状態推定量または推定量により、マグネトロン電源182、流量制御モジュール184の全流量レベル、および圧力/ポンプサブシステム232にフィードバックが提供されることになる。同様に、中性ガス(例えば、Ar)の反応性ガス(例えば、OまたはN)に対する比、および反応性ガスの分圧をさらに調整するために、流量制御サブシステム184に電子温度の状態推定量をフィードバックすることができる。これはまた、(静的に、あるいは時間の関数として)電子温度によって見積もられる電子エネルギーの動的状態が、プロセスガスおよびスパッタのターゲット材料に関連する化学的構成および固有の電子衝突物理に大きく依存するために有用なフィードバック経路でもある。このようにして、電力、圧力、およびガス流速などのプロセスへの入力パラメータをリアルタイムに調整して、間違いなく、プロセスがより正確に目標に向かい、生産時に再現性よく実行できるようにすることができる。この方法は、プラズマ特性(およびそれに付随する皮膜の特性)が、許容範囲または目標とする制御性の範囲から逸脱しそうな際に、過渡的なドリフトや擾乱に反応して、操作者に警告を発するようにプロセスシステムを構成することができるため、有益な方法である。本発明は、単一または複数のスパッタ用陰極ターゲット材料またはスパッタゾーンに関わらずに構成された反応性スパッタプロセスあるいは金属スパッタプロセスのいずれでも(また、バッチあるいはインラインのいずれでも)適用することができる。
DFPセンサについて、本発明の一部の実施形態に関連させて、プラズマの境界状態での測定値を求めるための好適なセンサとして説明してきたが、センサアレイに他のセンサを組み込んでもよい。例えば、本発明によるセンサアレイは、プラズマ発光スペクトルと粒子による光散乱とを測定するための光センサを備えてもよい。このセンサは、ガス放電またはプラズマ内の分子種や原子種のさまざまな励起状態に関連するプラズマの発光強度を、選択された帯域幅にわたって判別できる光学的にフィルタリングされたあらゆる形態の半導体光センサを含むことができる。この情報を用いて、反応ガス種の相対濃度(例えば、化学光量測定)を定量化してもよいし、エッチングのエンドポイントを検出してもよいし、あるいは、プラズマ支援化学気相堆積プロセスにおける反応ガスの化学状態を調べてもよい。また、さまざまなスペクトルの強度を測定するために複数のセンサを配置してもよいし、コンパクトレンズまたは遮蔽光学系を用いて、狭角から線積分光を収集してもよく、それによって、プラズマ発光強度の容積空間構造に関する情報を計算することができるようになる。発光強度測定用の部品は市販されており、パッケージ化されている狭バンドパスでフィルタリングされる光検出器、ウエッジフィルタ機構付きアレイ状光検出器、および1つのICパッケージに一体化されている広帯域スペクトル光センサなどがある。
光検出器アレイのさらに他の用途には、プラズマ中に浮遊する荷電微粒子、または試料上に堆積した微粒子からの散乱光の検出がある。これらの微粒子は、IC作製、メモリ媒体、および高性能光学薄膜における欠陥や歩留まりといった問題の原因となる。この構成では、(集光光学系つきの)高輝度LEDなどの光源が、プラズマを介して基板全域(つまり、基板上のプラズマシース近傍)に、あるいは基板表面に対する入射角で向けられる。反射光または散乱光の強度は、プロセス中に、プラズマ内にある基板上での微粒子形成レベルを定量化するために、さまざまな角度で配置した光検出器アレイを用いて検出される。
本発明はまた、吸収スペクトルを測定するために光センサを用いてもよい。このセンサは、赤外発光ダイオードなどの光源と、受光用の光検出器との組合せからなり、狭バンドパスでのフィルタリングを含んでもよい。一組の光源と光検出器は、視野方向に対して、プロセスシステム内の選択ガスの光吸収レベルを検出するためにアレイの反対側にそれぞれ配置される。この吸収レベルは、視野方向にある合計したガス種濃度に比例するので、センサを用いて、プロセスシステム内のさまざまなガス成分のバルク濃度を定量化できる。アレイ状の光センサの配列と同様に、光吸収センサアレイを用いて、システムの大部分にある反応ガスまたはガス状の副生成物の濃度プロファイルを導出してもよい。この方法でモニタすることができる主なガス種の例としては、SF、Cの各種、およびSiFがあり、これらは、通常、エッチングやプロセスチャンバのクリーニングの際に生成される副生成物である。
本発明ではまた、壁面温度および表面温度を化学触媒検知するための熱センサを用いてもよい。プロセスシステム内の壁面温度は、プロセスチャンバ壁面または内壁の温度管理ばかりではなく、放射熱、ガス伝導、プラズマ発光、荷電粒子流束、脱励起および化学反応、および熱質量などのさまざまな形態のエネルギー流束に依存する。センサアレイは、プロセスシステムの境界部の一部にあるため、温度センサを用いて壁面温度のダイナミクス、再現性、および変化をモニタしてもよい。一部の例では、温度センサは、正確な測定のための温度補償の手段として、他のセンサまたはサンプリング用電子機器に必要となる場合もある。
熱センサを表面触媒測定に用いて、選択した反応ガス種の相対的な流束、濃度、または輸送をモニタしてもよい。例えば、水素原子や酸素原子の相対的な濃度または表面流束を、熱触媒センサアレイで定量化してもよい。特定の触媒材料から熱プローブを作製する、あるいは特定の触媒材料でプローブを被覆することによって、プローブが受け取った熱エネルギーを反応性原子種の触媒再結合に関連付けることができる。触媒材料を用いない2次熱センサを、表面触媒反応による熱から伝導する、あるいは放射する影響を分断するために設けてもよい。
本発明の他の実施形態では、表面音響波センサを、圧力、膜厚、および堆積速度の測定に用いることができる。圧力は、ガスの全濃度およびガス温度に関係する点で、プラズマプロセスシステムでは重要な物理パラメータである。通常、圧力は、プロセスチャンバの境界部の1点でのみモニタされる。本発明によれば、圧力測定用センサアレイを、プロセスシステムの状態のモニタまたは制御に用いて、プロセスの不均一性につながるガス圧力の微妙な空間的変位をモニタするのを支援する。本発明のセンサアレイで用いることができるコンパクト圧力センサの一例としては、例えば、『Acoustic Wave Sensor Technology Sensors』(Sensors、2001年1月)の原稿に記載されたような表面音響波センサまたは「SAW」素子がある。これら圧電素子は、真空用途用に作製してパッケージ化することができ、さまざまな物理的または化学的刺激に対して敏感であるように作製することができる。このセンサアレイをプロセスチャンバ周囲に配置することで、プラズマシステム全体のガス圧に関する見かけ上の状態をモニタすることができる。
SAWセンサを適用できる他の用途としては、厚みすべりモード(TSM)で動作する用途がある。この構成では、圧電素子の反応は、その表面上に堆積されるあらゆる物体に敏感である。この種のセンサは、通常、堆積速度や全膜厚を測定するために真空コーティング業界で用いられている。前述の圧力センサと同様に、TSM−SAW素子アレイを用いて、プロセスチャンバの壁面周りでの材料の堆積速度をモニタしてもよい。また、SAW素子は、質量の変化に非常に敏感に作製することができるので、この素子は、エッチングプロセス中に堆積する場合がある残留膜の共堆積を検出するために、あるいはプロセス中に発生する場合がある不要な粒子の存在を検出するために用いてもよい。この種のセンサを用いて、堆積プロセスをリアルタイムでモニタしてもよいし、いつプロセスチャンバを適切に事前保守したのか、あるいはいつプロセスチャンバの予防的保守を予定すべきかを判断する助けとしてもよい。
本発明の他の実施形態では、化学的接触センサ、質量分析、およびイオンエネルギーや振動の検知に微小電気機械システム(MEMS)部品を利用する。このようなセンサのうちの1つは、MEMSベースの加速度計であり、プラズマプロセスシステム周りの機械的振動を測定するのに有用である。この微小加速度計により、過度の負荷または磨耗、増大した摩擦、衝撃、および機械的振動を検出するための機械部品やサブシステム(例えば、ターボポンプ、ゲートバルブ、ロボット、リフト機構など)の完全性に関する情報が提供される。この情報を用いて、機械が故障する前に予防的保守行動を起こしてもよいし、あるいは粒子汚染問題を、ユーザまたは外的環境が引き起こした機械的磨耗または機械的障害にまでたどるための助けとしてもよい。
本発明で用いることができる他のMEMSセンサは、選択ガスの濃度センサである。この素子の一例としては、例えば、Hagleitnerらの『A Single−Chip CMOS Resonant Beam Gas Sensor』(2001 IEEE International Solid−State Circuits Conference、2001年2月6日)に記載されているようなCMOS−MEMS共振ビーム・ガスセンサがある。この素子では、化学的に鋭敏な振動梁を用いて、この梁の表面で吸収した選択ガスの濃度を検出する。プロセスチャンバの壁面周りにあるこのセンサからなるアレイを用いて、非堆積プロセス中の各種ガスの正味の拡散濃度を求めてもよい。本発明の実施形態で用いるMEMSセンサの別の実施例には、例えば、Blainらの『High−Resolution Submicron Retarding Field Analyzer for Low−Temperature Plasma Analysis』(Applied Physics Letters、1999年第75巻)に記載されているようなイオンエネルギーを測定するように設計されたセンサ、およびローカルな電磁場を測定するため、また質量分析測定を行うために作製されたMEMSセンサが含まれる。
本明細書では、特定の構造および動作の詳細を例示して説明したが、当然のことながら、この説明は代表例であり、代替物や等価物については、本発明の精神と範囲とから逸脱することなく、当業者は容易に構成することができる。従って、本発明は、添付した請求項の精神および範囲に含まれるこのような代替物や等価物すべてを包含することを意図している。
本発明によるセンサ装置を備えたプラズマベースの製造プロセスチャンバの単純化した断面図である。 プラズマチャンバの各種構成部品の周囲に配置されたアレイ状のデュアルフローティング・ラングミュアプローブを含む本発明の実施形態によるセンサ装置の図である。 プラズマチャンバの周囲、および電気的バイアスを印加することができる基板ホルダ上に配置されたアレイ状のデュアルフローティング・ラングミュアプローブを含む本発明の実施形態によるセンサ装置の図である。 プラズマおよび電圧バイアス信号が加えられた場合の典型的な電流電圧応答曲線とともに、デュアルフローティングプローブ(DFP)構造を示す図である。 本発明の一部の実施形態によるDFPセンサからプラズマ電流を得る回路の概略図およびセンサの通常の電流応答を示す図である。 導電性電極に作製されたDFP素子の断面図である。 電極に作製され、絶縁性表面または堆積した電気的絶縁被膜または薄膜を有するDFP素子の断面図である。 電極に作製され、スパッタ堆積またはイオンビーム堆積による被膜または薄膜が視野方向に堆積するのを制御するためにマスクされたDFP素子の断面図である。 DFPセンサは、中央パルス回路、データ収集回路、マイクロプロセッサ、メモリ、電源システム、および外部通信に多重化された本発明の実施形態を示す概略的な電気的アーキテクチャである。 センサアレイから抽出した近距離ゾーンのDFP対および遠距離ゾーンのDFP対で測定された電流測定値を表す行列である。 調整したDFPセンサ信号を用いてプラズマ状態をモニタまたは制御する本発明の実施形態によるウエハ用プラズマプロセスシステムを示す図である。 DFPセンサの同期サンプリングによってパルス周期内で測定することが可能なプラズマ特性のダイナミクスを示す、本発明の実施形態によるパルス化RFプラズマプロセスシステムからの代表的な波形を示す図である。 DFPセンサの同期サンプリングによって測定することが可能なプラズマ特性のダイナミクスを示す、本発明の実施形態によるパルス化DCプラズマプロセスシステムからの代表的な波形を示す図である。 本発明の実施形態によるパルス化RFまたはパルス化DCプラズマシステムにおいて、同期測定を行う目的で、信号をトリガまたはゲーティングする実施例を示す図である。 調整したDFP信号を用いて、マグネトロンスパッタによる反応性堆積プロセスをモニタまたは制御する本発明の実施形態によるプラズマプロセスシステムを示す図である。

Claims (18)

  1. プラズマプロセスシステムにおけるプラズマ境界特性を測定する方法であって、
    (a)プラズマチャンバを有するプラズマプロセスシステムを用意し、
    (b)前記プラズマチャンバ内に配置した複数のセンサを備えるセンサアレイを設け、
    (c)プラズマプロセスに用いるために前記プラズマチャンバ内でプラズマを発生させ、
    (d)前記センサアレイを用いて前記プラズマの境界特性を測定することを備える前記方法。
  2. 前記センサは、デュアルフローティング・ラングミュアプローブである請求項1に記載の方法。
  3. 前記センサアレイは、前記プラズマチャンバ内に含まれるチャンバライナに沿って配置される請求項1に記載の方法。
  4. 前記センサアレイは、前記プラズマチャンバ内に含まれる絶縁分離リングに沿って配置される請求項1に記載の方法。
  5. 前記センサアレイは、前記プラズマチャンバ内に含まれる試料チャック周りに配置される請求項1に記載の方法。
  6. 前記プラズマの前記境界特性は、リアルタイムに測定される請求項1に記載の方法。
  7. 前記プラズマの前記測定した境界特性を用いて、前記プラズマのバルク特性を計算する請求項1に記載の方法。
  8. 前記プラズマの前記測定した境界特性は、前記プラズマプロセスを制御するプロセスシステムコントローラに供給される請求項1に記載の方法。
  9. 前記複数のセンサは、動的にパルス化されて、前記プラズマの境界特性を測定する請求項1に記載の方法。
  10. 前記プラズマは、パルス化電力源を用いて発生させ、前記複数のセンサの動的パルスは、このパルス化電力源のパルスと同期する請求項9に記載の方法。
  11. プラズマプロセスシステムであって、
    (a)プロセス用のプラズマを内部で発生させることができるプラズマチャンバと、
    (b)前記プラズマチャンバ内に配置された複数のセンサを備えるセンサアレイと、
    (c)前記プロセス用のプラズマの境界特性を測定するために、前記複数のセンサを刺激する回路とを備える前記システム。
  12. 前記センサは、デュアルフローティング・ラングミュアプローブである請求項11に記載のシステム。
  13. 前記センサアレイは、前記プラズマチャンバ内に含まれるチャンバライナに沿って配置される請求項11に記載のシステム。
  14. 前記センサアレイは、前記プラズマチャンバ内に含まれる絶縁分離リングに沿って配置される請求項11に記載のシステム。
  15. 前記センサアレイは、前記プラズマチャンバ内に含まれる試料チャック周りに配置される請求項11に記載のシステム。
  16. 前記複数のセンサを刺激する前記回路は、動的パルス回路である請求項11に記載のシステム。
  17. 前記複数のセンサを刺激する前記回路は、多重回路である請求項11に記載のシステム。
  18. 前記プラズマの測定した境界特性を記録して、プロセスシステムコントローラに前記プラズマの測定した境界特性を通信する回路をさらに備える請求項11に記載のシステム。

JP2006523362A 2003-08-14 2004-08-12 プラズマプロセスシステムおよび該システムにおけるプラズマ境界特性測定方法 Expired - Fee Related JP5015596B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/640,892 US6902646B2 (en) 2003-08-14 2003-08-14 Sensor array for measuring plasma characteristics in plasma processing environments
US10/640,892 2003-08-14
PCT/US2004/026127 WO2005017937A2 (en) 2003-08-14 2004-08-12 Sensor array for measuring plasma characteristics in plasma processing enviroments

Publications (3)

Publication Number Publication Date
JP2007502519A true JP2007502519A (ja) 2007-02-08
JP2007502519A5 JP2007502519A5 (ja) 2011-02-24
JP5015596B2 JP5015596B2 (ja) 2012-08-29

Family

ID=34136202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006523362A Expired - Fee Related JP5015596B2 (ja) 2003-08-14 2004-08-12 プラズマプロセスシステムおよび該システムにおけるプラズマ境界特性測定方法

Country Status (5)

Country Link
US (2) US6902646B2 (ja)
JP (1) JP5015596B2 (ja)
KR (1) KR20060067957A (ja)
TW (1) TWI342898B (ja)
WO (1) WO2005017937A2 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008041651A (ja) * 2006-08-04 2008-02-21 Samsung Electronics Co Ltd 遅延電場を用いたイオンエネルギー分布分析器に基づいたイオン分析システム
JP2008277275A (ja) * 2007-03-30 2008-11-13 Tokyo Electron Ltd プラズマ処理装置、計測装置、計測方法および制御装置
JP2008545237A (ja) * 2005-06-29 2008-12-11 ラム リサーチ コーポレーション プラズマの電気特性のセットを測定するための装置
JP2009188352A (ja) * 2008-02-08 2009-08-20 Ulvac Japan Ltd 真空処理装置
JP2011517766A (ja) * 2007-06-27 2011-06-16 ブルックス オートメーション インコーポレイテッド 多次元位置センサ
CN102474968A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 预测刻蚀率均匀性以评测校正等离子体腔的方法和装置
JP2012234817A (ja) * 2011-05-05 2012-11-29 Imec プラズマプローブ及びプラズマ診断のための方法
JP2013511814A (ja) * 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
JP2013518370A (ja) * 2010-01-21 2013-05-20 ケーエルエー−テンカー コーポレイション プラズマチャンバのためのプロセス条件検知デバイス
JP2014072264A (ja) * 2012-09-28 2014-04-21 Hitachi High-Technologies Corp プラズマ処理装置
US9024488B2 (en) 2007-06-27 2015-05-05 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
JP2017188236A (ja) * 2016-04-03 2017-10-12 国立大学法人東北大学 プラズマ処理装置におけるプラズマ状態の計測方法及びその計測方法に使用されるプラズマ計測装置
JP2018181633A (ja) * 2017-04-14 2018-11-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP2019046787A (ja) * 2017-09-05 2019-03-22 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
JP2019522900A (ja) * 2016-06-20 2019-08-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 容量マイクロセンサを有するウエハ処理装置
JP2019201406A (ja) * 2018-05-14 2019-11-21 エーエスエム アイピー ホールディング ビー.ブイ. 絶縁システム、基板処理装置
JP2020528104A (ja) * 2018-06-13 2020-09-17 瀋陽富創精密設備有限公司 半導体業界において応用される直接描画式プラズマ溶射方法
JP2020532101A (ja) * 2017-08-17 2020-11-05 東京エレクトロン株式会社 工業用製造機器における特性をリアルタイム感知するための装置及び方法
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960670B2 (en) * 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7084369B2 (en) * 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20060252283A1 (en) * 2003-08-07 2006-11-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and sustrate processing method
US7288942B2 (en) * 2003-10-02 2007-10-30 Naoyuki Sato Plasma potential measuring method and apparatus, and plasma potential measuring probe
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
EP3294045B1 (en) * 2004-07-21 2019-03-27 Mevion Medical Systems, Inc. A programmable radio frequency waveform generator for a synchrocyclotron
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US20060171848A1 (en) * 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
US7695984B1 (en) * 2005-04-20 2010-04-13 Pivotal Systems Corporation Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes
US7476556B2 (en) * 2005-08-11 2009-01-13 Micron Technology, Inc. Systems and methods for plasma processing of microfeature workpieces
JP4878187B2 (ja) * 2006-03-20 2012-02-15 東京エレクトロン株式会社 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
US20080023146A1 (en) * 2006-07-26 2008-01-31 Advanced Energy Industries, Inc. Inductively coupled plasma system with internal coil
US7853364B2 (en) * 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
KR100839909B1 (ko) * 2006-12-19 2008-06-19 세메스 주식회사 고밀도 플라즈마 화학 기상 증착 설비 및 그의 처리 방법
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
JP2010519768A (ja) 2007-02-23 2010-06-03 ケーエルエー−テンカー・コーポレーション プロセス条件測定デバイス
KR100854082B1 (ko) * 2007-03-06 2008-08-25 중앙대학교 산학협력단 플라즈마 식각 장치를 이용하여 시즈닝 하는 방법
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
US8798806B2 (en) * 2007-04-30 2014-08-05 Hewlett-Packard Development Company, L.P. Electronic device thermal management system and method
WO2009003195A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR20190077134A (ko) 2007-07-17 2019-07-02 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
KR101349189B1 (ko) * 2007-08-16 2014-01-09 주식회사 뉴파워 프라즈마 원격 플라즈마 시스템 및 방법
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8894804B2 (en) * 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8264237B2 (en) * 2008-02-14 2012-09-11 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US8334700B2 (en) * 2008-02-14 2012-12-18 Mks Instruments, Inc. Arc detection
US8289029B2 (en) * 2008-02-14 2012-10-16 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US7839223B2 (en) * 2008-03-23 2010-11-23 Advanced Energy Industries, Inc. Method and apparatus for advanced frequency tuning
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8053364B2 (en) * 2008-05-01 2011-11-08 Intermolecular, Inc. Closed-loop sputtering controlled to enhance electrical characteristics in deposited layer
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
US8164349B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
CN102714167B (zh) * 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US8344318B2 (en) * 2008-09-11 2013-01-01 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
CZ304249B6 (cs) * 2009-11-18 2014-01-29 Fyzikální ústav AV ČR, v.v.i. Způsob synchronizace měření pro sondovou diagnostiku plazmatu a měřicí systém k provádění tohoto způsobu
US9620338B2 (en) * 2010-03-16 2017-04-11 Mizuho Information & Research Institute, Inc. System, method, and program for predicting processing shape by plasma process
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US8357263B2 (en) 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
WO2012047819A2 (en) * 2010-10-05 2012-04-12 Skyworks Solutions, Inc. Apparatus and methods for plasma etching
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US9087677B2 (en) * 2011-03-28 2015-07-21 Tokyo Electron Limited Methods of electrical signaling in an ion energy analyzer
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
TWI581304B (zh) 2011-07-27 2017-05-01 日立全球先端科技股份有限公司 Plasma etching apparatus and dry etching method
DE102011111613B4 (de) * 2011-08-25 2013-03-07 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Sensoranordnung zur Charakterisierung von Plasmabeschichtungs-, Plasmaätz- und Plasmabehandlungsprozessen sowie Verfahren zur Ermittlung von Kenngrößen in diesen Prozessen
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
MY171465A (en) 2012-07-05 2019-10-15 Intevac Inc Method to produce highly transparent hydrogenated carbon protective coating for transparent substrates
FR2993393B1 (fr) * 2012-07-11 2016-01-15 Centre Nat Rech Scient Lampe a decharge luminescente
US9291649B2 (en) * 2012-08-16 2016-03-22 Mks Instruments, Inc. On the enhancements of planar based RF sensor technology
MX2012011702A (es) * 2012-10-08 2014-04-24 Ct De Investigación Y De Estudios Avanzados Del I P N Dispositivo de rayo plasmatico no termico como fuente de ionizacion espacial para espectrometria de masa ambiental y metodo para su aplicacion.
JP5883769B2 (ja) * 2012-11-15 2016-03-15 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TWI474367B (zh) * 2012-12-26 2015-02-21 Metal Ind Res & Dev Ct 電漿系統的回饋控制方法及其裝置
CN103903951B (zh) * 2012-12-28 2016-05-18 财团法人金属工业研究发展中心 等离子体系统的回馈控制方法及其系统
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20150031782A (ko) * 2013-09-16 2015-03-25 삼성전자주식회사 플라즈마 특성을 모니터링하는 웨이퍼 형태의 진단 기구 및 이를 이용하는 진단 시스템
WO2015051277A2 (en) * 2013-10-04 2015-04-09 Intevac, Inc. Method and apparatus to produce high density overcoats
US10515813B2 (en) * 2013-12-10 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for etching apparatus and etching-detection method
CN104733277B (zh) * 2013-12-23 2017-03-08 中微半导体设备(上海)有限公司 等离子体刻蚀系统
CN104733279B (zh) * 2013-12-23 2017-02-15 中微半导体设备(上海)有限公司 等离子体刻蚀系统
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US20150364300A1 (en) * 2014-06-16 2015-12-17 Lam Research Corporation Determining presence of conductive film on dielectric surface of reaction chamber
US10141166B2 (en) * 2014-08-15 2018-11-27 Applied Materials, Inc. Method of real time in-situ chamber condition monitoring using sensors and RF communication
US10242844B2 (en) * 2014-09-30 2019-03-26 Lam Research Corporation Rotating RF electric field antenna for uniform plasma generation
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
KR20170100519A (ko) * 2014-12-26 2017-09-04 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
TW201705183A (zh) * 2015-07-31 2017-02-01 逢甲大學 超高頻電漿模擬裝置與超高頻電漿特性量測方法
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
KR102417178B1 (ko) 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
KR20180033995A (ko) * 2016-09-27 2018-04-04 삼성전자주식회사 모니터링 유닛, 이를 포함하는 플라즈마 처리 장치 및 그를 이용한 반도체 칩의 제조 방법
US20200383196A1 (en) * 2017-04-14 2020-12-03 Ioneer, Llc Method and system for measuring plasma emissions in a plasma processing reactor
US20190088449A1 (en) * 2017-09-21 2019-03-21 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
CN109975856B (zh) * 2017-12-27 2024-02-09 核工业西南物理研究院 一种基于多工器的多波束微波源
US10978280B2 (en) * 2017-12-29 2021-04-13 Elemental Scientific, Inc. Systems and methods for ICPMS matrix offset calibration
CN112585245A (zh) * 2018-04-20 2021-03-30 得克萨斯A & M大学系统 利用多个放电模块的重油裂化装置规模化扩大
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7010770B2 (ja) 2018-06-13 2022-01-26 日立Geニュークリア・エナジー株式会社 超音波検査システム
EP3785494A4 (en) * 2018-06-14 2022-01-26 MKS Instruments, Inc. REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE
CN112088303A (zh) * 2018-06-18 2020-12-15 东京毅力科创株式会社 对制造设备中的特性的降低干扰的实时感测
CN109018457B (zh) * 2018-07-31 2020-07-28 北京卫星环境工程研究所 航天器悬浮电位试验验证方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
US11264219B2 (en) 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
WO2020214209A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. In-situ metrology and process control
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
CN111063632B (zh) * 2019-10-15 2024-02-06 北京烁科中科信电子装备有限公司 一种高密度阵列式法拉第筒测量探头
CN110740558B (zh) * 2019-10-18 2021-05-07 南昌大学 一种测量等离子体电子非广延参数的方法
KR102200662B1 (ko) * 2019-10-23 2021-01-12 충남대학교 산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
US20210217588A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Azimuthal sensor array for radio frequency plasma-based wafer processing systems
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US20210217587A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11830708B2 (en) * 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US20210280399A1 (en) * 2020-03-06 2021-09-09 Applied Materials, Inc. Capacitive sensors and capacitive sensing locations for plasma chamber condition monitoring
JP2021144832A (ja) * 2020-03-11 2021-09-24 東京エレクトロン株式会社 プラズマ計測装置、及びプラズマ計測方法
US11589474B2 (en) * 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
EP3968353A1 (en) 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112820618B (zh) * 2020-12-14 2023-04-07 兰州空间技术物理研究所 一种微型溅射离子泵等离子体诊断装置及诊断方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220367149A1 (en) * 2021-05-12 2022-11-17 Tokyo Electron Limited Systems And Methods For Real-Time Pulse Measurement And Pulse Timing Adjustment To Control Plasma Process Performance
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR20230046005A (ko) * 2021-09-29 2023-04-05 삼성전자주식회사 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법
US20230187169A1 (en) * 2021-12-13 2023-06-15 Applied Materials, Inc Method to measure radical ion flux using a modified pirani vacuum gauge architecture
KR20230092176A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법
CN114740244B (zh) * 2022-04-01 2023-06-20 核工业西南物理研究院 一种用于等离子体电流分布诊断的罗氏线圈探针
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024031098A1 (en) * 2022-08-05 2024-02-08 University Of Southern California Iris: integrated retinal functionality in image sensors

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63136626A (ja) * 1986-11-28 1988-06-08 Canon Inc プラズマ処理装置
JPH03104222A (ja) * 1989-09-19 1991-05-01 Fuji Electric Co Ltd プラズマ処理装置
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JPH08335567A (ja) * 1995-06-07 1996-12-17 Tokyo Electron Ltd プラズマ処理装置
JP2002164321A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp ドライエッチング装置
JP2003124201A (ja) * 2001-07-27 2003-04-25 Tokyo Electron Ltd プラズマ処理装置および基板載置台

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US173059A (en) * 1876-02-01 Improvement in treadles
US4006404A (en) 1976-01-30 1977-02-01 The United States Of America As Represented By The Secretary Of The Navy Pulsed plasma probe
US5167748A (en) 1990-09-06 1992-12-01 Charles Evans And Associates Plasma etching method and apparatus
US5339039A (en) 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
JPH07169590A (ja) 1993-09-16 1995-07-04 Fujitsu Ltd 電子密度の測定方法及びその装置及び電子密度の制御装置及びプラズマ処理装置
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5451784A (en) 1994-10-31 1995-09-19 Applied Materials, Inc. Composite diagnostic wafer for semiconductor wafer processing systems
US5667701A (en) 1995-06-07 1997-09-16 Applied Materials, Inc. Method of measuring the amount of capacitive coupling of RF power in an inductively coupled plasma
FR2738984B1 (fr) 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
EP0841692A3 (en) 1996-11-08 1998-12-23 Matsushita Electric Industrial Co., Ltd. Apparatus and method for optical evaluation of a semiconductor device
CN1165952A (zh) 1997-04-29 1997-11-26 王慰平 束外激光功率、能量测量法
US5989349A (en) 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
JP3497092B2 (ja) 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US6450184B1 (en) * 2000-02-04 2002-09-17 Lawrence Azar Apparatus for measuring cavitation energy profiles
US6653852B1 (en) * 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
US6458238B1 (en) * 2000-12-29 2002-10-01 Basf Corporation Adhesive binder and synergist composition and process of making lignocellulosic articles
US6673636B2 (en) 2001-05-18 2004-01-06 Applied Materails Inc. Method of real-time plasma charging voltage measurement on powered electrode with electrostatic chuck in plasma process chambers
DE10140299B4 (de) * 2001-08-16 2004-10-28 Mtu Aero Engines Gmbh Verfahren zur Überwachung und online-Diagnose eines thermischen Spritzprozesses
US20030052295A1 (en) * 2001-09-19 2003-03-20 Daniel Morgan Valve apparatus and method
US6576922B1 (en) 2001-12-21 2003-06-10 Texas Instruments Incorporated Ferroelectric capacitor plasma charging monitor
TWI239794B (en) * 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US6815653B2 (en) * 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US6614051B1 (en) 2002-05-10 2003-09-02 Applied Materials, Inc. Device for monitoring substrate charging and method of fabricating same
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63136626A (ja) * 1986-11-28 1988-06-08 Canon Inc プラズマ処理装置
JPH03104222A (ja) * 1989-09-19 1991-05-01 Fuji Electric Co Ltd プラズマ処理装置
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JPH08335567A (ja) * 1995-06-07 1996-12-17 Tokyo Electron Ltd プラズマ処理装置
JP2002164321A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp ドライエッチング装置
JP2003124201A (ja) * 2001-07-27 2003-04-25 Tokyo Electron Ltd プラズマ処理装置および基板載置台

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008545237A (ja) * 2005-06-29 2008-12-11 ラム リサーチ コーポレーション プラズマの電気特性のセットを測定するための装置
JP4642048B2 (ja) * 2006-08-04 2011-03-02 三星電子株式会社 遅延電場を用いたイオンエネルギー分布分析器に基づいたイオン分析システム
JP2008041651A (ja) * 2006-08-04 2008-02-21 Samsung Electronics Co Ltd 遅延電場を用いたイオンエネルギー分布分析器に基づいたイオン分析システム
JP2008277275A (ja) * 2007-03-30 2008-11-13 Tokyo Electron Ltd プラズマ処理装置、計測装置、計測方法および制御装置
US9024488B2 (en) 2007-06-27 2015-05-05 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
JP2011517766A (ja) * 2007-06-27 2011-06-16 ブルックス オートメーション インコーポレイテッド 多次元位置センサ
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor
JP2009188352A (ja) * 2008-02-08 2009-08-20 Ulvac Japan Ltd 真空処理装置
CN102474968A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 预测刻蚀率均匀性以评测校正等离子体腔的方法和装置
JP2013511814A (ja) * 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
JP2017069212A (ja) * 2010-01-21 2017-04-06 ケーエルエー−テンカー コーポレイション プラズマチャンバのためのプロセス条件検知デバイス及び方法
JP2013518370A (ja) * 2010-01-21 2013-05-20 ケーエルエー−テンカー コーポレイション プラズマチャンバのためのプロセス条件検知デバイス
US10777393B2 (en) 2010-01-21 2020-09-15 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
JP2012234817A (ja) * 2011-05-05 2012-11-29 Imec プラズマプローブ及びプラズマ診断のための方法
JP2014072264A (ja) * 2012-09-28 2014-04-21 Hitachi High-Technologies Corp プラズマ処理装置
JP2017188236A (ja) * 2016-04-03 2017-10-12 国立大学法人東北大学 プラズマ処理装置におけるプラズマ状態の計測方法及びその計測方法に使用されるプラズマ計測装置
JP2019522900A (ja) * 2016-06-20 2019-08-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 容量マイクロセンサを有するウエハ処理装置
US10923405B2 (en) 2016-06-20 2021-02-16 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
JP2018181633A (ja) * 2017-04-14 2018-11-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP2020532101A (ja) * 2017-08-17 2020-11-05 東京エレクトロン株式会社 工業用製造機器における特性をリアルタイム感知するための装置及び方法
JP2019046787A (ja) * 2017-09-05 2019-03-22 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
JP7026578B2 (ja) 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
JP2019201406A (ja) * 2018-05-14 2019-11-21 エーエスエム アイピー ホールディング ビー.ブイ. 絶縁システム、基板処理装置
JP2020528104A (ja) * 2018-06-13 2020-09-17 瀋陽富創精密設備有限公司 半導体業界において応用される直接描画式プラズマ溶射方法

Also Published As

Publication number Publication date
US6902646B2 (en) 2005-06-07
US8545669B2 (en) 2013-10-01
WO2005017937A3 (en) 2005-06-09
US20050151544A1 (en) 2005-07-14
TWI342898B (en) 2011-06-01
KR20060067957A (ko) 2006-06-20
JP5015596B2 (ja) 2012-08-29
US20050034811A1 (en) 2005-02-17
TW200506388A (en) 2005-02-16
WO2005017937A2 (en) 2005-02-24

Similar Documents

Publication Publication Date Title
JP5015596B2 (ja) プラズマプロセスシステムおよび該システムにおけるプラズマ境界特性測定方法
KR100499229B1 (ko) 플라즈마를 사용하여 반도체웨이퍼를 처리하는플라즈마처리장치
JP5366413B2 (ja) イオン電流に関連した発光分光法/残留ガス分析装置を使用するドーズ計測
US6830650B2 (en) Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6885153B2 (en) Plasma processing apparatus and method
US7019543B2 (en) Impedance monitoring system and method
JP2702807B2 (ja) 半導体中の深い不純物準位の測定方法及びその装置
KR19990087819A (ko) 플라즈마 처리장치
US20030132195A1 (en) Plasma processing method and apparatus using dynamic sensing of a plasma environment
EP2481074B1 (en) Apparatus and method for measuring plasma parameters
JP4642048B2 (ja) 遅延電場を用いたイオンエネルギー分布分析器に基づいたイオン分析システム
CN101595238A (zh) 检测等离子处理反应器的故障状况的方法和设备
US7101458B2 (en) Plasma processing method and apparatus
KR101591961B1 (ko) 플라즈마 처리 챔버의 플라즈마 상태 분석 장치 및 방법
CN108461412A (zh) 在线监测系统及半导体加工设备
KR20070019297A (ko) 플라즈마 장치용 비침투식 이온 에너지 분포 측정 시스템및 이를 이용한 이온 에너지 분포 측정 방법
JP3959318B2 (ja) プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
WO2003077303A1 (fr) Procede de traitement par plasma, methode de detection de fin de stabilisation et dispositif de traitement par plasma
KR101000939B1 (ko) 공정 모니터링 장치와 그 방법
JP2002170812A (ja) プラズマエッチングの終点検出方法および装置、並びにプラズマエッチング装置
JP3550457B2 (ja) 浮遊電位基板入射イオンのエネルギー及び質量の分析法及び装置
KR20220090469A (ko) Rf 플라즈마 처리 장비의 rf 신호를 고속으로 감지하는 장치
KR20230092941A (ko) 플라즈마 시스템의 비침습적 측정
JPH0831716A (ja) 荷電粒子ビーム露光装置及びそのアッシング方法
KR20080052805A (ko) 플라즈마 모니터 장치 및 모니터 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100928

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101005

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101029

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101206

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20110104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111129

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20120321

TRDD Decision of grant or rejection written
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120607

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5015596

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees