KR20230046005A - 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법 - Google Patents

플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법 Download PDF

Info

Publication number
KR20230046005A
KR20230046005A KR1020210128947A KR20210128947A KR20230046005A KR 20230046005 A KR20230046005 A KR 20230046005A KR 1020210128947 A KR1020210128947 A KR 1020210128947A KR 20210128947 A KR20210128947 A KR 20210128947A KR 20230046005 A KR20230046005 A KR 20230046005A
Authority
KR
South Korea
Prior art keywords
plasma
sensor
density
probe
measuring
Prior art date
Application number
KR1020210128947A
Other languages
English (en)
Inventor
프로토포포브 블라디미르
김영도
바실리 파쉬코프스키
강찬수
김훈섭
남상기
오세진
임창순
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210128947A priority Critical patent/KR20230046005A/ko
Priority to US17/874,475 priority patent/US20230102201A1/en
Publication of KR20230046005A publication Critical patent/KR20230046005A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32201Generating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0012Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry
    • H05H1/0025Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry by using photoelectric means

Abstract

예시적인 실시예들에 따르면, 플라즈마의 밀도를 계측하는 장치가 제공된다. 상기 장치는, 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하도록 구성된 제1 센서; 및 상기 플라즈마에 의해 생성된 광학 신호를 계측하도록 구성된 제2 센서;를 포함하되, 상기 제1 센서는 평판 형상의 프로브를 포함하고, 및 상기 제2 센서는 상기 제1 센서의 상기 프로브를 통해 상기 플라즈마의 상기 광학 신호를 계측한다.

Description

플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법{DEVICE FOR MEASURING THE DENSITY OF PLASMA, PLASMA PROCESSING SYSTEM AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD USING SAME}
본 발명은 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법에 관한 것이다.
반도체 소자를 제조하기 위한 공정의 예시는, 플라즈마 유발(Enhanced) 퇴적, 플라즈마 식각 및 플라즈마 세정 등의 플라즈마 공정을 포함한다. 최근 반도체 소자의 미세화 및 고집적화에 따라, 플라즈마 공정의 미세한 오차가 반도체 제품 품질에 미치는 영향이 커지고 있다. 이에 따라, 플라즈마 설비 내의 플라즈마의 상태를 나타내기 위한 파라미터들을 정밀하게 계측하기 위한 다양한 방법들이 연구되고 있다.
플라즈마의 파라미터를 계측하는 것은, 플라즈마 챔버 내에 프로브를 위치시키는 침습적인 방법 및 플라즈마 외부에 프로브를 위치시키는 비침습적인 방법을 포함한다. 침습적인 방법은 프로브의 위치를 변경하며 측정이 가능하므로 플라즈마의 밀도의 절댓값의 반경에 따른 프로파일을 직접 측정 가능한 장점이 있으나, 프로브가 플라즈마 밀도 분포에 왜곡을 유발하는바 실제 반도체 소자 제조에 채용하기 어렵다. 반면 비 침습적인 방법은 플라즈마의 분포에 왜곡을 유발하지 않으므로, 반도체 소자 제조를 위한 플라즈마 처리를 실시간으로 모니터링 가능한 장점이 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는, 플라즈마의 밀도를 측정하는 장치, 플라즈마 밀도 측정 방법, 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법을 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따르면, 플라즈마의 밀도를 계측하는 장치가 제공된다. 상기 장치는, 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하도록 구성된 제1 센서; 및 상기 플라즈마에 의해 생성된 광학 신호를 계측하도록 구성된 제2 센서;를 포함하되, 상기 제1 센서는 평판 형상의 프로브를 포함하고, 및 상기 제2 센서는 상기 제1 센서의 상기 프로브를 통해 상기 플라즈마의 상기 광학 신호를 계측한다.
예시적인 실시예들에 따르면, 플라즈마 처리 시스템이 제공된다. 상기 시스템은, 라즈마를 생성하도록 구성된 플라즈마 처리 장치; 상기 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하도록 구성된 제1 센서 및 상기 플라즈마에 의해 생성된 광학 신호를 계측하도록 구성된 광학 센서를 포함하는 제2 센서를 포함하는 플라즈마의 밀도를 계측하는 장치; 상기 제1 센서와 전기적으로 연결되고, 상기 제1 센서에 마이크로파 대역 신호를 전달하도록 구성되며, 상기 제1 센서에 의해 계측된 상기 입력 포트 반사 파라미터의 스펙트럼을 독출하도록 구성된 네트워크 애널라이저; 및 상기 입력 포트 반사 파라미터의 스펙트럼에 기초하여 상기 플라즈마의 부분의 밀도의 절댓값을 산출하도록 구성되고, 상기 제2 센서에 의해 계측된 상기 광학 신호에 기초하여 상기 플라즈마의 반경에 따른 밀도의 상댓값을 산출하도록 구성된 프로세서를 포함하되, 상기 제1 센서는 평판 형상의 프로브를 포함하고, 및 상기 제2 센서는 상기 제1 센서를 통해 상기 플라즈마의 상기 광학 신호를 계측한다.
예시적인 실시예들에 따르면 반도체 소자 제조 방법이 제공된다. 상기 방법은, 플라즈마 챔버 내에 플라즈마를 이용하여 웨이퍼를 처리하는 단계; 및 상기 플라즈마의 밀도를 계측하는 단계를 포함하되, 상기 플라즈마의 밀도를 계측하는 단계는, 핀 홀을 포함하는 평판 형상의 프로브를 포함하는 제1 센서를 이용하여 상기 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하는 단계; 및 광학센서를 포함하는 제2 센서를 이용하여 상기 핀 홀을 통해 상기 플라즈마에 의해 생성된 광학 신호를 계측하는 단계를 포함한다.
본 발명의 기술적 사상에 따르면, 높은 주파수의 소스 전력에 의해 생성된 플라즈마의 밀도를 비침습적으로 산출할 수 있는 플라즈마의 밀도를 측정하는 장치를 제공할 수 있다. 이에 따라, 실제 플라즈마 처리가 수행되는 챔버 내의 플라즈마 밀도를 실시간으로 계측할 수 있는바, 플라즈마 처리 및 반도체 소자 제조의 신뢰성을 제고할 수 있다.
본 발명의 예시적인 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 않은 다른 효과들은 이하의 설명으로부터 본 개시의 예시적인 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적인 실시예들을 실시함에 따른 의도하지 않은 효과들 역시 본 개시의 예시적인 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.
도 1은 예시적인 실시예들에 따른 플라즈마 처리 시스템을 설명하기 위한 도면이다.
도 2는 예시적인 실시예들에 따른 플라즈마 처리 장치에 포함된 플라즈마의 밀도를 측정하는 장치를 설명하기 위한 도면이다.
도 3은 예시적인 실시예들에 따른 플라즈마의 밀도를 측정하는 장치에 포함된 제1 센서의 프로브를 도시한다.
도 4a는 다른 예시적인 실시예들에 따른 프로브를 도시한 평면도이다.
도 4b는 다른 예시적인 실시예들에 따른 프로브를 도시한 평면도이다.
도 5는 다른 예시적인 실시예들에 따른 플라즈마의 밀도를 측정하는 장치를 설명하기 위한 도면이다.
도 6은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 예시적인 실시예들에 따른 플라즈마 처리 시스템(10)을 설명하기 위한 도면이다.
도 2는 예시적인 실시예들에 따른 플라즈마 처리 시스템(10)에 포함된 플라즈마의 밀도를 측정하는 장치(100)를 설명하기 위한 도면이다.
도 3은 예시적인 실시예들에 따른 플라즈마의 밀도를 측정하는 장치(100)에 포함된 제1 센서(110)의 프로브(111)를 도시한다.
도 1 내지 도 3을 참조하면, 플라즈마 처리 시스템(10)은 플라즈마의 밀도를 측정하는 장치(100), 네트워크 애널라이저(150), 프로세서(160) 및 플라즈마 처리 장치(200)를 포함할 수 있다.
플라즈마 처리 장치(200)는 플라즈마 영역(PR)에 플라즈마를 생성하도록 구성될 수 있다. 플라즈마 처리 장치(200)는 용량 결합 플라즈마(Capacitively Coupled Plasma) 소스, 유도 결합 플라즈마(Inductively Coupled Plasma) 소스, 마이크로 파(Microwave) 플라즈마 소스 및 리모트 플라즈마(Remote Plasma) 소스 등을 포함할 수 있다.
플라즈마 처리 장치(200)는 플라즈마 영역(PR)을 외부와 격리시키기 위한 챔버(210)를 포함할 수 있다. 챔버(210)는 플라즈마 영역(PR)을 대면하는 내벽(210W1) 및 내벽(210W1)의 반대인 외벽(210W2)을 포함할 수 있다.
예시적인 실시예들에 따르면, 플라즈마 처리 장치(200)는 뷰 포트(view port)(220)를 포함할 수 있다. 뷰 포트(220)는 챔버(210)의 내벽(210W1)에 형성될 수 있다. 뷰 포트(220)는 플라즈마 영역(PR)에 대한 윈도우일 수 있다. 비제한적 예시로서, 챔버(210)는, 실린더 형상을 갖고 내벽(210W1)으로부터 외벽(210W2)까지 연장되는 홀(hole)을 포함할 수 있다.
뷰 포트(220)는 플라즈마의 밀도를 측정하는 장치(100)와 결합되도록 구성될 수 있다. 뷰 포트(220)는 예를 들어, 석영(quartz) 또는 사파이어(sapphire)를 포함할 수 있다. 뷰 포트(220)에 대응되는 챔버(210)의 홀의 길이는 표면파 공명 이론(surface wave resonance theory)에 따라 결정될 수 있다.
플라즈마 처리 장치(200)는 생성된 플라즈마를 이용하여 웨이퍼를 처리하기 위한 장치일 수 있다. 플라즈마 처리 장치(200)는 웨이퍼에 플라즈마 어닐링, 플라즈마 식각, 플라즈마 강화 화학적 기상 퇴적(Plasma Enhanced Chemical Vapor Deposition), 스퍼터링 및 플라즈마 세정 중 하나를 수행할 수 있다.
일 예에서, 플라즈마 처리 장치(200)는 예컨대, 반응성 이온 식각(Reactive ion etching) 공정을 수행할 수 있다. 반응성 이온 식각은 고주파 RF 전원에 의해 여기된 종(Excited Species)(라디칼, 이온)이 저압 챔버에서 기판 또는 박막을 식각하는 건식 식각 공정이다. 반응성 이온 식각은, 고에너지 이온(Energetic Ion)의 폭격(Bombardment) 및 화학적 활성화된 종들(chemically active species)의 물리적 작용 및 화학적 작용의 복합(Complexity)에 의해 수행될 수 있다. 반응성 이온 식각은 실리콘 산화물 등과 같은 절연 층의 식각, 금속 물질의 식각 및 도핑되거나 도핑되지 않은 반도체 물질의 식각을 포함할 수 있다.
다른 예에서, 플라즈마 처리 장치(200)는 웨이퍼에 등방성 식각 공정을 수행할 수 있다. 플라즈마 처리 장치(200)는, 웨이퍼 상에 형성된 실리콘 산화물을 헥사플루오르규산암모늄((NH4)2SiF6)으로 치환하고, 어닐링을 통해 상기 헥사플루오르규산암모늄을 제거하는 공정을 수행할 수 있다.
다른 예로서, 플라즈마 처리 장치(200)는 웨이퍼 상의 결정질 및/또는 비정질의 실리콘, 실리콘 질화물, 및 금속 중 어느 하나에 플라즈마 처리 및 어닐링 처리를 교대로, 그리고 반복적으로 수행해 결정질 및/또는 비정질의 실리콘, 실리콘 질화물, 및 금속 중 상기 어느 하나를 등방적으로 제거하는 공정을 수행할 수 있다.
웨이퍼는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼는 게르마늄(Ge, germanium)을 포함하거나, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수도 있다. 일부 실시예들에 따르면, 웨이퍼는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼는 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼는 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다.
플라즈마의 밀도를 측정하는 장치(100)는 제1 센서(110), 제2 센서(120), 윈도우(131) 및 리턴 패스(135)를 포함할 수 있다. 예시적인 실시예들에 따르면, 플라즈마의 밀도를 측정하는 장치(100)는 비침습적(Non-invasive) 계측기일 수 있다. 예시적인 실시예들에 따르면, 플라즈마의 밀도를 측정하는 장치(100)는 플라즈마 처리 장치(200)의 외부에서 뷰 포트(220)를 통해 플라즈마 영역(PR) 내의 플라즈마의 밀도를 측정할 수 있다.
예시적인 실시예들에 따르면, 플라즈마의 밀도를 측정하는 장치(100)는 플라즈마의 밀도의 프로파일, 즉, 플라즈마 영역(PR)의 중심으로부터의 반경에 따른 플라즈마의 밀도의 변화를 결정할 수 있다.
윈도우(131) 및 리턴 패스(135)는 외부 환경으로부터 제1 및 제2 센서들(110, 120)을 격리시킬 수 있다. 윈도우(131)는 제1 센서(110)가 측정하는 마이크로 파 신호 및 제2 센서(120)가 측정하는 광학 신호에 대해 투명할 수 있다. 리턴 패스(135)에 그라운드 전위가 인가될 수 있고, 이에 따라, 제1 센서(110) 및 제2 센서(120)의 측정에 대한 외부 노이즈의 영향을 완화할 수 있다.
예시적인 실시예들에 따르면, 제1 센서(110)는 마이크로 파를 이용하여 플라즈마의 밀도를 측정할 수 있다. 예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마의 특정 부분(예컨대, 플라즈마의 외곽)의 밀도의 절댓값을 결정하도록 구성될 수 있다.
예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마에 마이크로 파를 전송하고, 반사된 마이크로 파의 세기를 측정할 수 있다. 예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마에 마이크로 파 대역에서 서로 다른 주파수를 갖는 초핑된(chopped) 마이크로 파를 플라즈마에 전송할 수 있다. 예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마에 마이크로파 대역의 처프드된(Chirped) 신호를 제공할 수도 있다.
예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마의 파라미터의 마이크로 파 대역의 스펙트럼을 계측할 수 있다. 보다 구체적으로, 제1 센서(110)는 제1 센서(110)를 통해 플라즈마에 전달되고, 플라즈마에 의해 반사된 후 다시 제1 센서(110)에 의해 측정된 신호의 크기와, 제1 센서(110)에 의해 전달된 상기 신호의 비로 정의되는 플라즈마의 입력 포트 반사 파라미터 S11을 계측하도록 구성될 수 있다. 제1 센서(110)에 의해 플라즈마에 전달되는 신호의 주파수를 마이크로 파 대역에서 스캐닝함으로써, 플라즈마의 입력 포트 반사 파라미터 S11의 마이크로 파 대역 스펙트럼을 계측할 수 있다.
예시적인 실시예들에 따르면, 제1 센서(110)는 프로브(111), 신호 라인(113) 및 절연층(115)을 포함할 수 있다.
예시적인 실시예들에 따르면, 프로브(111)는 평판 형상을 가질 수 있다. 예시적인 실시예들에 따르면, 프로브(111)는 예컨대, 디스크 형상(Disk)을 가질 수 있다. 예시적인 실시예들에 따르면, 프로브(111)의 평면 형상은 원형일 수 있다. 예시적인 실시예들에 따르면, 프로브(111)는 도전성 물질을 포함할 수 있다. 예시적인 실시예들에 따르면, 프로브(111)는 Cu 및 Al 등과 같은 금속 물질을 포함할 수 있다.
예시적인 실시예들에 따르면, 프로브(111)는 핀 홀(111PH)을 포함할 수 있다. 비제한적인 예시로서, 핀 홀(111PH)은 프로브(111)의 중심에 형성될 수 있다. 비제한적인 예시로서, 핀 홀(111PH)은 원 형일 수 있다.
예시적인 실시예들에 따르면, 신호 라인(113)은 프로브와 연결될 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)의 중심으로부터 이격될 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)은 프로브(111)의 가장자리에 연결될 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)과 핀 홀(111PH)은 프로브(111)의 상면에 수직한 방향으로 서로 이격될 수 있다.
예시적인 실시예들에 따르면, 신호 라인(113)은 플라즈마에 마이크로 파를 인가하기 위한 전력을 프로브(111)에 전달하거나, 프로브(111)에 의해 센싱된 플라즈마에 의해 반사된 마이크로파를 외부(예컨대, 네트워크 애널라이저(150)에 전달할 수 있다.
신호 라인(113)은 도전성 물질을 포함할 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)은 Cu 및 Al 등과 같은 금속 물질을 포함할 수 있다. 또한 도 1a에 도시된 것과 달리, 신호 라인(113) 및 프로브(111)는 연속적으로 통합되어 일체를 구성할 수 있고, 이 경우, 신호 라인(113) 및 프로브(111) 사이에 별도의 경계선이 형성되지 않을 수 있다.
절연층(115)은 신호 라인(113)을 커버할 수 있다. 예시적인 실시예들에 따르면, 절연층(115)은 예컨대, 세라믹 등과 같은 절연 물질을 포함할 수 있다. 절연층(115)은 신호 라인(113)을 보호하고, 신호 라인(113)을 외부와 절연시킬 수 있다.
예시적인 실시예들에 따르면, 신호 라인(113) 및 절연층(115)은 예컨대, RF케이블을 구성할 수 있다. 신호 라인(113) 및 절연층(115)은 비제한적 예시로서, RG 58, RG 316, RG 400, RG 402, RG 405, SF/SR 085, SF/SR 141 및 LMR 200 중 어느 하나를 포함할 수 있다. 예시적인 실시예들에 따르면, 프로브(111)는 신호 라인(113)을 통해 네트워크 애널라이저(150)에 연결될 수 있다.
다른 예시적인 실시예들에 따르면, 신호 라인(113)에 마이크로 파 커넥터가 연결될 수 있다. 상기 마이크로 파 커넥터의 예시는, SMA(SubMiniature A), SMB(SubMiniature B), N Type, BNC(Bayonet Neil-Concelman), TNC 및 7/16 DIN을 포함할 수 있다. 이 경우, 제1 센서(110)는 상기 마이크로 파 커넥터를 통해 네트워크 애널라이저(150)에 연결될 수 있다.
제1 센서(110)에 의해 측정된 마이크로 파 대역의 플라즈마의 입력 포트 반사 파라미터 S11의 스펙트럼은 네트워크 애널라이저(150)에 의해 분석될 수 있고, 이에 따라, 플라즈마의 밀도의 절댓값이 결정될 수 있다.
플라즈마 내에 예컨대, 프로브(111)에 의해 생성되는 마이크로 파와 같은 섭동(Turbulance)이 발생한 경우, 상기 플라즈마 내에서 상기 섭동의 진행은 맥스웰 방정식에 따라 결정된다. 이때, 플라즈마의 분산 관계식(Dispersion Relation)에 따르면, 플라즈마 내에서 발생한 섭동인 마이크로 파의 주파수가 플라즈마의 특성(예컨대, 전자 밀도)에 의해 결정되는 주파수보다 낮은 경우, 마이크로 파의 파수(wave number)가 허수가 된다. 허수인 파수를 갖는 마이크로파는 감쇠파이므로, 플라즈마에서 진행하는 동안 소멸(perish)할 수 있다. 반면 섭동인 마이크로 파의 주파수가 플라즈마의 특성 주파수보다 높은 경우, 마이크로 파의 파수가 실수(Real number)가 되는 바, 마이크로 파가 플라즈마에 의해 반사되어 프로브(111)에 의해 수신될 수 있다.
이에 따라, 플라즈마의 입력 포트 반사 파라미터 S11의 스펙트럼을 계측한 경우, 입력 포트 반사 파라미터 S11의 스펙트럼의 특징적인 주파수(Characteristic Frequency), 예컨대, 차단 주파수에 기초하여 플라즈마의 전자 밀도를 산출할 수 있다. 스펙트럼의 특성 주파수 fc와 플라즈마의 전자 밀도 n0 사이의 관계는 아래의 식 1을 따른다.
[식 1]
Figure pat00001
여기서, m은 전자의 질량이고, ε0는 진공의 유전율이며, e는 기본 전하(Fundametal charge)이고, ωc는 특성 각주파수(=2π*fc)이다.
제2 센서(120)는 프로브(111)의 핀 홀(111PH)을 통해 플라즈마를 계측할 수 있다. 제2 센서(120)는 플라즈마로부터 생성된 광학 신호를 계측할 수 있다. 예시적인 실시예들에 따르면, 제1 센서(110)는 플라즈마의 반경에 따른 플라즈마 밀도의 상대치를 결정하도록 구성될 수 있다.
전술한 것과 같이, 프로브(111)는 금속 물질과 같은 도전성 물질을 포함하는바, 핀 홀(111PH)이 형성되지 않은 프로브(111)의 부분은 플라즈마에 의해 생성된 광학 신호를 차단할 수 있다. 이에 따라, 제2 센서(120)는 프로브(111)의 핀 홀(111PH)을 통해서만 플라즈마의 광학 신호를 계측할 수 있다.
예시적인 실시예들에 따르면, 제2 센서(120)는 광학 센서(121), 수동 소자 및 능동 소자를 포함하는 전자 부품(123) 및 커넥터(125)를 포함할 수 있다. 예시적인 실시예들에 따르면, 광학 센서(121)는 CCD(charge coupled device) 센서 또는 CMOS(complementary metal-oxide-semiconductor) 이미지 센서를 포함할 수 있다. 광학 센서(121)는 예를 들어, 리니어 어레이 카메라(linear array camera), 씨모스 카메라(CMOS camera) 등을 포함할 수 있다. 커넥터(125)는, 예컨대, USB 케이블일 수 있다.
예시적인 실시예들에 따르면, 작업물(예컨대, 반도체 기판)의 표면에 인접한 영역의 플라즈마가 제2 센서(120)에 의해 계측될 수 있다. 다른 예시적인 실시예들에 따르면, 제2 센서(120)는 작업물(예컨대, 반도체 기판)으로부터 소정의 거리만큼 이격된 영역일 수 있다. 이 경우, 제2 센서(120)에 의한 플라즈마의 계측 결과는 작업물(예컨대, 반도체 기판)의 표면에 인접한 영역의 플라즈마의 상태로 변환될 수 있다. 상기 변환은, 시뮬레이션에 의해 수행되거나, 미리 작성된 룩업 테이블에 기초할 수 있다.
예시적인 실시예들에 따르면, 제2 센서(120)의 계측 결과에 아벨 변환(Abel transformation)을 수행함으로써, 플라즈마 영역(PR) 내에서 반경에 따른 플라즈마의 밀도의 상댓값의 프로파일을 산출할 수 있다.
여기서, 플라즈마의 밀도의 상댓값은 플라즈마 영역(PR)의 특정 부분(예컨대, 중심)의 밀도를 기준으로 한, 플라즈마 영역(PR)의 다른 부분의 밀도의 표준화된 상대적인 수치를 나타내는 무차원의 양일 수 있다.
아벨 변환은 제2 센서(120)가 센싱한 광학 신호를 핀 홀(111PH)을 기준으로 광학 센서(121)의 반대편에 위치한 플라즈마로부터 생성된 신호로 역산하는 연산일 수 있다. 예시적인 실시예들에 따르면, 아벨 변환을 수행함으로써, 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일을 산출할 수 있다.
예시적인 실시예들에 따르면, 제1 센서(110)를 통해 플라즈마의 일부 영역의 밀도의 절댓값을 얻을 수 있고, 및 제2 센서(120)를 통해 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일을 얻을 수 있다. 예시적인 실시예들에 따르면, 플라즈마의 밀도를 측정하는 장치(100)는 제1 및 제2 센서들(110, 120)의 계측 결과에 기초하여 플라즈마의 밀도의 절댓값의 반경에 따른 프로파일을 얻을 수 있다. 예시적인 실시예들에 따르면, 플라즈마의 밀도를 측정하는 장치(100)는 제1 및 제2 센서들(110, 120)의 계측 결과를 결합시킴으로써 플라즈마의 밀도의 절댓값 프로파일을 얻을 수 있다. 여기서, 제1 센서(110)는 마이크로파 대역의 신호를 이용하고, 제2 센서(120)는 광학 신호를 이용하는바, 제1 센서(110)의 측정에 사용되는 신호 및 제2 센서(120)에 의해 측정되는 신호 사이의 실질적 신호 간섭이 없으므로, 높은 신뢰성의 플라즈마의 밀도를 측정하는 장치(100)가 제공될 수 있다.
네트워크 애널라이저(150)는 주파수 스캐너인 동시에 스펙트로미터일 수 있다. 보다 구체적으로, 네트워크 애널라이저(150)는 주파수 스캐닝 방식으로 플라즈마의 파라미터를 계측하기 위한 전기 신호(예컨대, 프로브(111)를 발진시키기 위한 신호)를 제공할 수 있다. 또한, 네트워크 애널라이저(150)는 프로브(111)에 의해 검출된 신호에 기초하여 입력 포트 반사 파라미터 S11의 마이크로파 대역 스펙트럼을 산출할 수 있다.
네트워크 애널라이저(150)는 제1 센서(110)의 프로브(111)와 전기적으로 연결될 수 있다. 네트워크 애널라이저(150)에 의해 프로브(111)에 인가된 사인 파형의 전압은 프로브(111)에 의해 마이크로 파로 변환되고 플라즈마에 방출될 수 있다. 비제한적 예시로서, 네트워크 애널라이저(150)는 프로브(111)가 마이크로 파 대역에서 서로 다른 파장의 주파수를 갖는 초핑된(chopped) 마이크로 파를 생성할 수 있도록 프로브(111)에 초핑된 전기 신호를 제공할 수 있다. 비제한적 예시로서, 네트워크 애널라이저(150)는 프로브(111)에 처프드된(Chirped) 전기 신호를 제공할 수도 있다.
프로브(111)에 의해 방출되고 플라즈마에 의해 반사된 마이크로 파 신호는 프로브(111)에 의해 전기 신호로 변환되어 네트워크 애널라이저(150)에 전달될 수 있다. 네트워크 애널라이저(150)는 상기 전기 신호에 대한 데이터를 저장할 수 있다.
프로세서(160)는 네트워크 애널라이저(150)에 저장된 데이터에 기초하여 플라즈마의 밀도를 산출할 수 있다. 프로세서(160)는 플라즈마 영역(PR)의 부분의 밀도의 절댓값 산출할 수 있다. 프로세서(160)는 제2 센서(120)에 의해 계측된 데이터에 아벨 변환을 수행함으로써, 플라즈마 영역(PR)의 반경에 따른 밀도의 상댓값의 프로파일을 산출할 수 있다. 프로세서(160)는 플라즈마 영역(PR)의 반경에 따른 밀도의 상댓값의 프로파일 및 플라즈마 영역(PR)의 부분의 밀도의 절댓값에 기초하여 플라즈마 영역(PR)의 반경에 따른 밀도의 절댓값의 반경에 따른 프로파일을 산출할 수 있다.
네트워크 애널라이저(150) 및 프로세서(160)는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치일 수 있다. 네트워크 애널라이저(150) 및 프로세서(160)는 각각 별도의 하드웨어로 구성되거나, 하나의 하드웨어 내에 포함된 별도의 소프트웨어들일 수 있다. 네트워크 애널라이저(150) 및 프로세서(160)는 단순 제어기, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어일 수도 있다. 네트워크 애널라이저(150) 및 프로세서(160)는, 예를 들어, 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에 따르면 네트워크 애널라이저(150) 및 프로세서(160)의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
네트워크 애널라이저(150) 및 프로세서(160)는, 네트워크 애널라이저(150) 및 프로세서(160)에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 하지만 이는 설명의 편의를 위한 것으로서, 네트워크 애널라이저(150) 및 프로세서(160)의 동작은 컴퓨팅 장치, 프로세서, 제어기 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있음을 이해해야 한다.
도 4a는 다른 예시적인 실시예들에 따른 프로브(111a)를 도시한 평면도로서, 도 3에 대응되는 부분을 도시한다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명된 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 4a를 참조하면, 프로브(111a)의 핀 홀(111PHa)은 프로브(111a)의 중심(111Ca)으로부터 이격될 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)은 프로브(111a)의 중심(111Ca)과 접할 수 있다. 예시적인 실시예들에 따르면, 프로브(111a)의 핀 홀(111PHa)과 신호 라인(113)은 이격될 수 있다.
도 4b는 다른 예시적인 실시예들에 따른 프로브(111b)를 도시한 평면도로서, 도 3에 대응되는 부분을 도시한다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명된 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 4a를 참조하면, 프로브(111b)의 핀 홀(111PHb)은 프로브(111b)의 중심(111Cb)으로부터 이격될 수 있다. 예시적인 실시예들에 따르면, 신호 라인(113)은 프로브(111b)의 중심(111Cb)으로부터 이격될 수 있다. 예시적인 실시예들에 따르면,
다른 예시에서, 프로브(111b)의 핀 홀(111PHb) 및 신호 라인(113) 각각이 상기 프로브(111b)의 중심(111Cb)으로부터 이격될 수도 있다. 예시적인 실시예들에 따르면, 프로브(111b)의 핀 홀(111PHb)과 신호 라인(113)은 이격될 수 있다.
도 5는 다른 예시적인 실시예들에 따른 플라즈마의 밀도를 측정하는 장치(101)를 설명하기 위한 도면이다.
플라즈마의 밀도를 측정하는 장치(101)는 제1 센서(110), 제2 센서(120) 및 광학 케이블(140)을 포함할 수 있다. 제1 센서(110) 및 제2 센서(120)는 도 1a 및 도 1b를 참조하여 설명한 것과 실질적으로 동일하므로, 이에 대한 중복된 설명은 생략한다.
예시적인 실시예들에 따르면, 광학 케이블(140)은 플라즈마로부터 생성된 광을 수집할 수 있다. 광학 케이블(140)은 수집된 광을 OES(optical emission spectrometer)에 전달할 수 있다. OES는 플라즈마에 의해 생성된 광으로부터 플라즈마에 포함된 양이온들의 조성 및 조성비를 결정할 수 있다.
도 6은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 6을 참조하면, 반도체 소자의 제조는 P110에서 플라즈마를 이용하여 웨이퍼를 처리하는 것 및 P120에서 플라즈마의 파라미터를 계측하는 것을 포함할 수 있다.
플라즈마에 의한 웨이퍼의 처리는 전술한 것과 같이, 플라즈마 어닐링, 플라즈마 식각, 플라즈마 강화 화학적 기상 퇴적, 물리적 기상 퇴적 및 플라즈마 세정 중 어느 하나를 포함할 수 있다.
일 예에서, P120에서의 플라즈마의 파라미터를 계측하는 것은 P110에서의 플라즈마를 이용한 웨이퍼의 처리와 실질적으로 동시에 수행될 수 있다. 다른 예에서, P120에서의 플라즈마의 파라미터를 계측하는 것은 P110에서의 플라즈마를 이용한 웨이퍼의 처리 전 또는 웨이퍼의 처리 후에 수행될 수 있다. 다른 예에서, P120에서의 플라즈마의 파라미터를 계측하는 것은 미리 설정된 신뢰성 테스트 주기에 기초하여 주기적으로 수행될 수 있다.
플라즈마의 파라미터를 계측하는 것은, 구체적인 양상은 도 1 내지 도 3을 참조하여 설명한 것과 같이, 제1 센서(110)를 이용하여 플라즈마 영역(PR)의 부분의 플라즈마의 밀도의 절댓값을 결정하는 것, 제2 센서(110)를 이용하여 플라즈마 영역(PR)의 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일을 결정하는 것 및 플라즈마 영역(PR)의 일부의 플라즈마의 밀도의 절댓값과 플라즈마 영역(PR)의 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일을 결합시킴으로써 플라즈마 영역(PR)의 플라즈마의 밀도의 절댓값 프로파일을 결정하는 것을 포함할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (10)

  1. 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하도록 구성된 제1 센서; 및
    상기 플라즈마에 의해 생성된 광학 신호를 계측하도록 구성된 제2 센서;를 포함하되,
    상기 제1 센서는 평판 형상을 갖고 도전성 물질을 포함하는 프로브를 포함하고, 및
    상기 제2 센서는 상기 제1 센서의 상기 프로브를 통해 상기 플라즈마의 상기 광학 신호를 계측하는 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  2. 제1항에 있어서,
    상기 프로브는 평면 형상은 원형인 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  3. 제1항에 있어서,
    상기 프로브는 핀 홀을 포함하고,
    상기 제2 센서는 상기 핀 홀을 통해 상기 플라즈마의 광학 신호를 계측하는 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  4. 제3항에 있어서,
    상기 핀 홀은 원형인 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  5. 제3항에 있어서,
    상기 프로브와 연결된 신호 라인을 더 포함하되,
    상기 핀 홀은 상기 신호 라인과 이격된 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  6. 제5항에 있어서,
    상기 핀 홀은 상기 프로브의 중심에 위치하고,
    상기 신호 라인은 상기 프로브의 가장자리와 연결된 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  7. 제5항에 있어서,
    상기 신호 라인은 상기 프로브의 중심과 연결되고,
    상기 핀 홀은 상기 프로브의 중심으로부터 이격된 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  8. 제5항에 있어서,
    상기 핀 홀 및 상기 신호 라인 각각은 상기 프로브의 중심으로부터 이격된 것을 특징으로 하는 플라즈마의 밀도를 계측하는 장치.
  9. 플라즈마를 생성하도록 구성된 플라즈마 처리 장치;
    상기 플라즈마의 마이크로파 대역의 입력 포트 반사 파라미터의 스펙트럼을 계측하도록 구성된 제1 센서 및 상기 플라즈마에 의해 생성된 광학 신호를 계측하도록 구성된 광학 센서를 포함하는 제2 센서를 포함하는 플라즈마의 밀도를 계측하는 장치;
    상기 제1 센서와 전기적으로 연결되고, 상기 제1 센서에 마이크로파 대역 신호를 전달하도록 구성되며, 상기 제1 센서에 의해 계측된 상기 입력 포트 반사 파라미터의 스펙트럼을 독출하도록 구성된 네트워크 애널라이저; 및
    상기 입력 포트 반사 파라미터의 스펙트럼에 기초하여 상기 플라즈마의 부분의 밀도의 절댓값을 산출하도록 구성되고, 및 상기 제2 센서에 의해 계측된 상기 광학 신호에 기초하여 상기 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일을 산출하도록 구성된 프로세서를 포함하되,
    상기 제1 센서는 평판 형상의 프로브를 포함하고, 및
    상기 제2 센서는 상기 제1 센서의 상기 프로브를 통해 상기 플라즈마의 상기 광학 신호를 계측하는 것을 특징으로 하는 플라즈마 처리 시스템.
  10. 제9항에 있어서,
    상기 프로세서는, 상기 플라즈마의 상기 부분의 밀도의 절댓값 및 상기 플라즈마의 밀도의 상댓값의 반경에 따른 프로파일에 기초하여 상기 플라즈마의 밀도의 절댓값의 반경에 따른 프로파일을 결정하도록 구성된 것을 특징으로 하는 플라즈마 처리 시스템.
KR1020210128947A 2021-09-29 2021-09-29 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법 KR20230046005A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020210128947A KR20230046005A (ko) 2021-09-29 2021-09-29 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법
US17/874,475 US20230102201A1 (en) 2021-09-29 2022-07-27 Device for measuring density of plasma, plasma processing system, and semiconductor device manufacturing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210128947A KR20230046005A (ko) 2021-09-29 2021-09-29 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법

Publications (1)

Publication Number Publication Date
KR20230046005A true KR20230046005A (ko) 2023-04-05

Family

ID=85721743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210128947A KR20230046005A (ko) 2021-09-29 2021-09-29 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법

Country Status (2)

Country Link
US (1) US20230102201A1 (ko)
KR (1) KR20230046005A (ko)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
EP3229996A4 (en) * 2014-12-12 2018-09-05 Velo3d Inc. Feedback control systems for three-dimensional printing
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide

Also Published As

Publication number Publication date
US20230102201A1 (en) 2023-03-30

Similar Documents

Publication Publication Date Title
TWI806772B (zh) 工業製造設備中特性的即時感測裝置和方法
US5103182A (en) Electromagnetic wave measurement of conductive layers of a semiconductor wafer during processing in a fabrication chamber
KR101756325B1 (ko) 평면형 플라즈마 진단 장치
US10566176B2 (en) Microwave probe, plasma monitoring system including the microwave probe, and method for fabricating semiconductor device using the system
TW201642343A (zh) 電漿處理裝置及電漿處理方法
KR20230046005A (ko) 플라즈마의 밀도를 측정하는 장치, 플라즈마 처리 시스템 및 이를 이용한 반도체 소자 제조 방법
KR101456542B1 (ko) 초고주파 플라즈마 진단 장치
KR101225011B1 (ko) 공진 구조체를 이용한 초고주파 프로브
US11874189B2 (en) MEMS resonator sensor substrate for plasma, temperature, stress, or deposition sensing
KR20230031709A (ko) 플라즈마의 파라미터를 계측하는 방법, 플라즈마 파라미터를 계측하는 장치, 플라즈마 처리 시스템 및 웨이퍼 처리 방법
EP1171908A1 (en) Method for removing residues with reduced etching of oxide
US20220320015A1 (en) Backside structure for optical attack mitigation
US20210116393A1 (en) Planar-type plasma diagnosis apparatus, wafer-type plasma diagnosis apparatus in which planar-type plasma diagnosis apparatus is buried, and electrostatic chuck in which planar-type plasma diagnosis apparatus is buried
JP4528952B2 (ja) 平坦度の測定方法及び装置
JP7370060B2 (ja) 誘電体材料の評価方法、評価装置及び評価システム
Hsieh et al. Development of a ridged microstrip microwave interferometer for plasma electron density measurements
US20230417820A1 (en) Terahertz probe
Zhang et al. A micromachined differential probe for on-wafer measurements in the WM-1295 (140–220 GHz) band
JP4006525B2 (ja) 光の透過測定による試料の平坦度と複素誘電率測定装置及び測定法
JP2004333167A (ja) 微小センサによる近傍界測定方法および近傍界測定装置
JP4235826B2 (ja) 光の反射測定による試料の複素誘電率測定方法
TW202411662A (zh) 包括探針的檢測設備
US20240019362A1 (en) Probe and inspection apparatus including the same
Laurinavičius et al. Investigation of microwave dispersion in n‐InSb by magnetoreflection
CN113310594A (zh) 测定方法及测定系统