KR20050097514A - 마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를이동하는 방법 - Google Patents

마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를이동하는 방법 Download PDF

Info

Publication number
KR20050097514A
KR20050097514A KR1020057013683A KR20057013683A KR20050097514A KR 20050097514 A KR20050097514 A KR 20050097514A KR 1020057013683 A KR1020057013683 A KR 1020057013683A KR 20057013683 A KR20057013683 A KR 20057013683A KR 20050097514 A KR20050097514 A KR 20050097514A
Authority
KR
South Korea
Prior art keywords
fluid
supercritical
vessel
liquid
cleaning
Prior art date
Application number
KR1020057013683A
Other languages
English (en)
Inventor
제임스 비. 맥클라인
제임스 피. 디영
스티븐 엠. 그로스
마크 아이. 와그너
Original Assignee
미셀 테크놀로지즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미셀 테크놀로지즈, 인코포레이티드 filed Critical 미셀 테크놀로지즈, 인코포레이티드
Publication of KR20050097514A publication Critical patent/KR20050097514A/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2401/00Form of the coating product, e.g. solution, water dispersion, powders or the like
    • B05D2401/90Form of the coating product, e.g. solution, water dispersion, powders or the like at least one component of the composition being in supercritical state or close to supercritical state
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Abstract

압력 용기로부터 초임계 유체를 치환하는 방법은(예를 들면, 마이크로일렉트로닉 제조 공정에서) 제 1 초임계 유체(바람직하게는 이산화탄소를 포함하는 초임계 유체)를 담은 밀폐된 압력 용기를 구비하는 단계; 제 2 유체를(통상 또한 초임계 유체), 상기 제 2 유체의 압력을 상기 초임계 유체의 압력보다 크게 하고 상기 제 2 유체의 밀도를 상기 초임계 유체의 밀도보다 작게 하여, 상기 용기에 첨가하는 단계; 제 1 초임계 유체와 제 2 유체 사이에 경계면을 형성하는 단계; 및 상기 경계면을 유지하면서 상기 제 2 유체의 압력에 의하여 상기 초임계 유체의 적어도 일부를 상기 용기로부터 치환하는 단계를 포함한다.

Description

마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를 이동하는 방법{Methods for transferring supercritical fluids in microelectronic and other industrial processes}
본 발명은 제조 공정 중에 유체를 운반하는 방법과 장치에 관한 것이다. 상기 공정은 액체 또는 초임계 이산화탄소를 가지고 물과 물에서 생긴 용질을 제거하고 반도체 기판, 마이크로 전자기계 소자(MEM's), 광전자 소자와 같은 기판으로부터 오염물질 또는 공정 부산물을 제거하는 공정을 포함하나 이에 한정되지는 않는다. 덧붙여, 이러한 공정들은 액체 또는 초임계 이산화탄소와 함께 금속 또는 반(半)금속(metalloid) 선구물질, 폴리머, 모노머, 또는 작은 분자들의 반도체 기판, 마이크로 전자기계 소자, 또는 광전자 소자에의 응용을 포함한다.
집적회로, 마이크로일렉트로닉 소자, 마이크로-전자기계 소자의 제조는 다수의 공정 단계를 포함하는데 그 중 많은 수가 화학물질의 운반자 또는 공정 부산물의 제거를 촉진하는 매체로 물을 도입한다. 더 작은 최소선폭과 더 복잡한 마이크로소자에 대한 동인(動因)이 물질과 공정의 전개를 이끌어 왔다. 몇몇 경우에는, 이렇게 전개되고 있는 공정에서의 물의 사용은 물과 물에 의해 운반되는 부산물이 보여온 유해한 영향 등이 나타나는 도전에 직면하게 되었다. 액체 또는 초임계 상태에 있는 과밀한 이산화탄소의 독특한 물리적 특성은 어느 정도 이러한 위험을 막는데 특별한 관심을 갖게 한다.
과밀한 CO2가 실제적으로 응용되는 공정의 하나는 표면장력 또는 모세관력에 의해 유발되는 이미지 붕괴를 막는 것과 관련된다. 이것은 포토레지스트를 사용하는 마이크로 리소그래피 이미지의 수용성 현상 과정에서 특히 중요하다. 포토레지스트는 이미지를 기판에 전달하는데 사용되는 광감성 필름이다. 기판에 포토레지스트 코팅층이 형성되고 그후 포토레지스트층이 포토마스크 또는 다른 기술에 의하여 활성화 방사 광원에 노출된다. 활성화 방사 광원에 대한 노출은 포토레지스트 코팅의 광유도 화학 변형을 일으켜 포토마스크(또는 다른 패턴 생성기)의 패턴을 포토레지스트가 코팅된 기판에 전사하도록 한다. 노광 후, 포토레지스트가 현상되어 기판의 선택적인 공정을 허용하는 양각 이미지를 제공한다. 예를 들면, 미국 특허 번호 제 6,042,997 호를 보라.
포토레지스트는 양성-작용 또는 음성-작용일 수 있다. 음성 작용 레지스트에 대하여, 노광 영역의 용해도는 감소하여 현상 중에 웨이퍼에 남는 반면, 비노광 영역은 제거된다. 양성 작용 레지스트에 대하여, 현상 용액에서 노광된 영역의 용해도는 늘어나 노광 영역은 현상 단계에서 제거되고 비노광 영역은 영향을 받지 않는다. 양성과 음성 작용 레지스트 물질은 특정 파장의 UV 광에 노출되면 변형이 일어나는 화학 작용기를 전형적으로 도입한다. 폴리머 극성의 증가 또는 감소가 종종 현상 용액에서 폴리머 용해도의 변화에 대한 추진력이 되므로 상기 변형이 종종 "극성 스위치"로 불린다. 이 변형은 레지스트 조성에 광산 발생제(PAG's) 또는 광염기 발생제(PBG's)를 도입함으로써 촉진된다. 산과 염기의 일부분은 후속 열처리가 뒤따르는 적절한 방사광에의 노출에 의하여 전형적으로 생성된다. 현상 용액은 통상 수용성이고, 다음 공정을 진행하기 전에 통상 기판으로부터 건조된다.
이미지가 만들어진 레지스트 패턴의 수성 건조시 존재하는 모세관력은 레지스트 변형과 패턴 붕괴를 가져올 수 있다. 이 문제는 리소그래피 기술이 더 큰 종횡비를 갖는 더 작은 이미지의 노드를 향해 나아갈 때 특히 심각해진다. 연구원들은 수성 건조와 관련된 붕괴 문제가 130-㎚ 기술 노드에 영향을 끼칠 것이고, 종횡비가 늘어남에 따라 뒤따르는 기술에서 더욱 우세해질 것이라고 시사해왔다.
IBM과 NTT의 연구원들은 초임계 레지스트 건조(SRD : supercritical resist drying)에서 이산화탄소의 사용이 이미지 붕괴와 필름 손상을 줄일 것이라고 시사해 왔다. [H. Namatsu, J. Vac. Sci. Technol. B 18(6), 3308-3312 (2000); D. Goldfarb et al., J. Vac. Sci. Technol. B 18(6), 3313-3317 (2000)]을 보라. 그러나, 표면 장력의 부재와 접근하기 쉬운 CO2의 임계 온도와 압력이 이 건조법에 대한 접근의 긍정적인 요인으로 권유되어 왔지만, 초임계 상(phase)에서 상대적으로 낮은 물에 대한 용해도가 유체의 전달 능력을 증가시키기 위한 화학적 부가물의 사용을 필요하게 할 수 있다는 점이 도전 요소로서 기술되어 왔다. IBM과 NTT의 연구원들은 초임계 유체-지원 건조에서 일정한 표면활성제의 사용을 시험해 왔다. 그러나 표면활성제는 "간접적인 SRD"에서 헥산 프리-린스에 도입되거나, 예를 들면, 상기 참조된 Goldfarb 등의 논문을 보라, 오직 특정한 표면활성제만이 "직접적인 SRD"에서 이산화탄소에 도입되어 왔다고 설명된다. 직접적이고 간접적인 건조 방법 모두에서 표면활성제와 공-용매(co-solvent)의 선택은 레지스트 손상을 일으키는 적합성 문제에 의해 제한된다. 따라서, 이산화탄소를 사용하는 SRD에 대한 새로운 접근의 필요가 남는다.
마이크로일렉트로닉 기판(예를 들면, 포토레지스트가 코팅된 반도체 기판, 마이크로 전자기계 소자, 광전자 소자, 광소자, 평판 디스플레이, 등등) 표면의 건조에 대한 다른 문제는 일반적으로 건조 물무늬(water mark)라고 불리는 잔여물을 남기지 않고 수성 공정, 세정 또는 헹굼 용액을 완전히 제거하는 것이다. 이 물무늬는 수성 공정, 세정, 또는 건조 유체가 건조될 때, 상기 유체 안의 용질의 농축으로부터 기인한다. 많은 마이크로일렉트로닉, 광학, 마이크로-광학, 또는 마이크로 전자기계 소자 구조에서 이 물무니는 제조 수율이나 소자의 궁극적인 성능에 부정적인 영향을 줄 수 있다. 표면에서 수계(water-based) 유체를 제거(세정)하기 위한, 유체에 운반되는 용질의 최종적인 침전이나 농축을 제거하여 물반점을 제거하는 효과적인 방법의 필요가 있다.
하나의 그러한 도전이 마이크로 전자기계 소자의 제조에서 나온다. 습식 공정 단계는 일반적으로 헹굼과 건조 단계로 완결된다. 증발 건조는 여러가지 미세-모양으로 표면에 웅덩이를 이루는 낮은 정도의 용질을 가진 물을 웅덩이의 표면적을 최대로 하는 위치에 농축하게 만든다. 결과적으로, 이러한 건조 단계들은 한번 용해되었던 용질을 구동 부분이나 그에 가깝게 농축하게 할 수 있다. 유기물 또는 무기물의 성질을 가질 수 있는 침전된 물질들은 마찰 정지(stiction), 작동하지 못하도록 구동 부분을 잠그는 것, 의 원인이 될 수 있다. "릴리스 스틱션(release stiction)"은, 제조 단계 결과에서 이름지어진 것으로, 점착성과 반 데어 발스의 힘과 마찰에서 유래하는 것으로 믿어진다. 이 현상으로부터 발생하는 힘들은 마이크로 전자기계 소자의 구동 부분을 완전히 무능력하게 만든다.
마찰 정지에 대항하기 위하여 마이크로 전자기계 소자의 제조업체들은 헹굼 단계에서 표면 장력을 줄이고 건조 공정까지도 더욱 촉진시키는 짧은 사슬 알코올을 사용한다. 그러나 이러한 단계들만으로는 마찰 정지의 발생을 제거할 수 없었다. 표면 장력이 손상을 일으킬 수 있는 곳에서, 초임계 CO2가 마이크로구조를 건조하는데 추천되어 왔다.(Gregory T.Mulhern "마이크로구조의 초임계 이산화탄소 건조(Supercritical Carbon Dioxide Drying of Micro Structures)"를 보라) 특히 텍사스 인스트루먼트사의 연구원들은(예를 들면, 미국 특허 번호 제6,024,801호를 보라) 마이크로 전자기계 소자로부터 유기 또는 무기 오염물질을 제거하여 마찰 정지을 제한하는데, 조정(pacification) 단계 전에 초임계 CO2가 사용될 수 있다는 것을 시험해 왔다.
초임계 CO2을 사용하는 이러한 기술들은 특정 위치에서의 물과 용질의 농축을 피하기 위하여 물과 용질들이 동시에 제거되는 건조와 세정의 혼합에 의하여 마찰 정지를 제한하지 않는다. 건조, 세정, 그리고 표면 조정의 종합 공정을 통하여 릴리스 스틱션을 막을 수 있는 기술들이 필요하다.
수성 습식 공정 단계들에 관련된 건조와 세정의 어려움의 다른 예들은 집적 회로의 생산에서 층간 금속화를 위한 깊은 비아(via)의 형성에서 온다. 당업자에게 알려진 방법에 의하여 형성된 이러한 비아는, 통상 큰 임계 종횡비를 가져서 잔류물이 세정되기 힘든 형태를 만든다. 더욱이, 물과 같은 전통적인 유체를 사용하는 습식 공정의 단계들과 헹굼들은 증발 건조 단계에서 한번 용해되었던 용질들을 뒤에 남긴다. 비아의 바닥에 침전된 이러한 용질들은 메탈배선에서 전도를 막아 기능적인 수율을 낮춘다.
습식 공정 단계들 후에 비아로부터 물과(건조) 용해된 용질을(세정) 제거하여 수율 손실을 줄이는 기술들이 필요하다.
덧붙여, 집적회로의 제조에 사용되는 다공성 유전 물질의 출현은 구조와 물질의 손상 없이 소자 손상 오염물질과 공정 부산물을 제거하기 위하여 세정 매체가 점점 더 작은 최소 선폭을 이상적으로 적실 수 있는 것을 필요로 할 것이다. 밀도가 높은 유체 CO2는 낮거나 없는 표면장력과 매우 낮은 점성 때문에 바람직하다. 그러나 공-용매, 산화제, 식각제, 그리고 안정제와 같은 화학 부가물들은 세정 요구에 대한 역할을 다한 다음 효과적으로 제거되어야 한다. 이것은 온도와 압력의 함수로서 수송 성질과 다양한 용매 성질을 지닌 유체에서 매우 도전적이다. 이 용해력의 변동은 효과적인 헹굼없이 이러한 다공성의 기판 위 또는 안에 물질들의 원하지 않는 침전 결과를 낳을 수 있다. 요구되는 것은 오염물질과 공정 부산물 뿐만 아니라 화학 시약들을 유효하고 효과적으로 제거할 수 있도록 하는 공정이다.
도 1은 패터닝된 레지스트 층이 형성된 기판을 그 위에 여러 위치에 존재하는 물과 함께 보여준다.
도 2는 본 발명의 방법을 수행하는 장치를 개략적으로 도시한다.
도 3은 우세한 CO2 초임계 혼합물로부터 액체 상태를 피하는 가스로의 전이를 의미하는 우세한 CO2 시스템의 상(phase) 다이어그램을 묘사한다.
도 4는 본 발명의 방법을 수행하는 장치를 개략적으로 도시한다.
도 5는 본 발명의 방법을 수행하는 장치의 다른 실시예를 개략적으로 도시한다.
도 6은 압력 셀에 있는 기판과, 그 위에 증착된 초임계 유체의 박막을 갖는 기판을 개략적으로 도시한다.
도 7은 도 6에 도시된 생성물을 형성하는 공정을 개략적으로 도시한다.
도 8은 압력 2,500 psig의 초임계 이산화탄소를 담고 있고, 초임계 이산화탄소가 셀의 바닥에 있는 배출구를 통하여 셀 밖으로 내보내지는 압력 셀의 위에 헬륨을 첨가하는 것을 보여준다.
도 9는 첨가 헬륨이 첨가된 후 뒤의 시점을 잡은 점을 제외하고는 실질적으로 도 8과 동일하다.
도 10은 초임계 헬륨으로 차 있는 압력 셀에 초임계 이산화탄소 유체를 첨가하는 것을 보여준다. 초임계 이산화탄소가 헬륨을 통과하는 흐름으로 셀의 바닥으로 떨어지는 것으로 보이는 것을 주목하라.
본 발명은 초임계 유체(상기 초임계 유체는 바람직하게는 이산화탄소를 포함하여 구성되는)를 담은 밀폐된 압력 용기를 구비하는 단계;
제 2 유체(통상 또한 초임계 유체)를, 상기 제 2 유체의 압력을 상기 초임계 유체의 압력보다 크게 하고 상기 제 2 유체의 밀도를 상기 초임계 유체의 밀도보다 작게 하여, 상기 용기에 첨가하는 단계;
상기 초임계 유체와 상기 제 2 유체 사이에 경계면을 형성하는 단계; 및
상기 경계면을 유지하면서 상기 제 2 유체의 압력에 의하여 상기 초임계 유체의 적어도 일부를 상기 용기로부터 치환하는 단계를 포함하는 초임계 유체를 압력 용기로부터 치환하는 방법을 제공한다.
본 발명의 일면은 다음의 단계를 포함하는 마이크로일렉트로닉 소자의 세정 방법이다: 세정될 표면 부분을 갖는 기판을 제공하는 단계, 밀도를 높인 이산화탄소 세정 조성물 - 상기 세정 조성물은 이산화탄소와 세정 부가물을 포함하는 세정 조성물이고, 상기 세정 부가물은 공용매, 표면활성제, 식각제, 산화제, 킬런트, 안정화제와 이들의 조합으로 구성된 그룹으로부터 선택된 세정 부가물이다 - 을 제공하는 단계; 밀도를 높인 이산화탄소 세정 조성물에 표면 부분을 담그는 단계; 및 표면 부분으로부터 상기 세정 조성물을 제거하는 단계; 상기 담그는 단계와 상기 제거 단계 중 적어도 하나 동안 세정 조성물을 균일한 조성물로 유지하면서. 본 발명에 의해 세정될 수 있는 소자들의 예는 반도체 기판을 포함하는 유전체, 금속(구리)을 포함하는 반도체 기판, 집적 회로, 마이크로전자기계(MEMs) 소자, 광전자 소자, 그리고 레지스트가 도포된 기판을 포함하나 이에 한정되지는 않는다.
구체적인 실시예에서 본 발명은 마이크로일렉트로닉 소자(반도체 기판과 같은), 마이크로 전자기계 소자, 또는 광-전자 소자로부터 물에 의해 운반되는 용질, 식각 공정 부산물, 금속 잔여물, 또는 포토레지스트의 제거와 같은, 물의 제거 또는 기판의 세정을 위한 방법을 제공한다. 일반적으로, 방법은 다음의 단계를 포함한다: (a) 기판을 제공하는 단계, 어떤 실시예에서 상기 기판은 그 위에 패턴이나 구조를 갖고(예를 들면, 집적회로의 제조 동안 실리콘 웨이퍼와 같이, 금속, 반도체, 절연체 또는 유전체, 포토레지스트, 및 이들의 조합으로 구성된 기판), 그로부터 세정되어야 할 물 또는 다른 물질(예를 들면, 식각 잔여물, 식각에 의해 하드닝된 포토레지스트, 및 CMP 잔여물과 같은 공정 부산물)을 갖는다; (b) 밀도를 높인(예를 들면, 액체 또는 초임계의) 이산화탄소 건조 또는 세정 조성물 - 상기 세정 조성물은 이산화탄소와 선택적으로 그러나 바람직하게 세정 부가물을 포함하고 상기 세정 부가물은 공용매, 표면활성제와 이들의 조합으로 구성된 그룹으로부터 선택된 세정 부가물이다 - 을 제공하는 단계; (c) 밀도를 높인 이산화탄소 세정/건조 조성물에 표면 부분을 담그는 단계; 그후 (d) 표면 부분으로부터 상기 건조 또는 세정 조성물을 제거하는 단계.
발명의 여러가지 구체적인 실시예들이 밑에서 더 논의된다.
순환 상 변조. 본 발명의 또 다른 관점은 용해 가능한 물질, 미립자 물질, 및/또는 오염물질, 기타를 제거하기 위하여 마이크로일렉트로닉 소자를 세정하는 방법이다. 방법은 다음 단계들을 포함한다: 세정될 표면 부분을 갖는 기판을 제공하는 단계, 밀도를 높인 이산화탄소 세정 조성물-상기 세정 조성물은 이산화탄소와, 선택적으로 그러나 바람직하게는, 세정 부가물을 포함하는 세정 조성물이고, 상기 세정 부가물은 공용매, 표면활성제, 및 이들의 조합으로 구성된 그룹으로부터 선택된 세정 부가물이다-을 제공하는 단계; 표면 부문을 세정하기 위하여 밀도를 높인 이산화탄소 세정 조성물에 표면 부분을 담그는 단계; 및 표면 부분으로부터 상기 세정 조성물을 제거하는 단계. 위에서 기술된 담그는 단계/세정 단계는 그 단계의 일부 또는 전부 동안, 바람직하게 순환 상 변조에 의해 수행되며, 이는 아래에서 상세히 설명된 바와 같다.
수성 세정 시스템. 본 발명의 또 다른 관점은 마이크로일렉트로닉 소자를 세정하는 방법이며, 이는 다음 단계들을 포함한다: 세정될 표면 부분을 갖는 기판을 제공하는 단계, 밀도를 높인 이산화탄소 세정 조성물 - 상기 세정 조성물은 이산화탄소와 물을 포함한다 을 제공하는 단계. 선택적으로, 그러나 바람직하게는, 세정 조성물에 하나 또는 그 이상의 세정 부가물이 세정될 물체의 세정을 촉진하기에 충분한 양으로 포함된다. 적절한 세정 부가물은, 예를 들면, 공용매, 표면활성제, 수-용성 세정 부가물, 및 이들의 조합을 포함한다. 방법의 다음 단계는 물체를 세정하기 위하여 밀도를 높인 이산화탄소 세정 조성물에 표면 부분을 담그는 단계, 그리고 나서 표면 부분으로부터 상기 세정 조성물을 제거하는 단계를 포함한다.
미립자 세정. 본 발명의 또 다른 관점은 마이크로일렉트로닉 소자로부터 고체 미립자를 세정/제거하는 방법이며, 이는 다음 단계들을 포함한다: 세정될 표면 부분을 갖는 기판을 제공하는 단계, 밀도를 높인 이산화탄소 세정 조성물 - 상기 세정 조성물은 이산화탄소와, 선택적으로 그러나 바람직하게는, 세정 부가물을 포함하는 세정 조성물이고, 상기 세정 부가물은 공용매, 표면활성제, 및 이들의 조합으로 구성된 그룹으로부터 선택된 세정 부가물이다 - 을 제공하는 단계; 밀도를 높인 이산화탄소 세정 조성물에 고체 미립자 오염물을 제거하는데 충분한 시간 동안 표면 부분을 담그는 단계; 그리고 나서 표면 부분으로부터 상기 세정 조성물을 제거하는 단계. 기판의 미립자 오염은, 예를 들면, 기판의 화학-기계적 평탄화 다음에 발견될 수 있다.
오염물의 재침전의 조절. 바람직한 일 실시예에서, 담그는 단계, 제거하는 단계, 또는 담그고 제거하는 단계 모두 동안 레지스트 코팅, 패터닝된 구조, 또는 소자 또는 회로의 기계적, 전기적, 또는 광학적 요소에 건조 부가물이나 물에 동반하는 용질의 실질적인 침전 없이, 건조 및/또는 세정 조성물이 균질 조성물로서 유지되도록 공정 변수들이 조절될 수 있다.
본 발명은 아래에서 매우 상세하게 설명된다. 이 설명은 발명이 수행될 수 있는 모든 각각의 방법들의 상세한 목록 또는 현 발명에 부가될 수 있는 모든 특징들이 되는 것을 의도하지 않는다. 예를 들면, 하나의 실시예에 관련되어 나타낸 구조들은 다른 실시예들에도 도입될 수 있고, 특정한 실시예에 관련하여 나타낸 구조들은 다른 실시예에서 삭제될 수 있다. 덧붙여, 여기에서 제시된 여러 가지 실시예들에 다양한 변화와 부가는 현 발명으로부터 동떨어지지 않는 현 개시의 관점에서 기술 분야에 능숙한 이들에게 명백할 것이다. 그러므로 다음의 상술은 발명의 어떤 특정 실시예를 나타내려는 것이고, 배타적으로 모든 변경과, 조합과 변화를 일일이 특정하려는 것은 아니다.
여기에서 기술된 바와 같은 경계면은, 제 1 유체와 제 2 유체 사이에 굴절율의 차이나 변화 때문에 일반적으로 눈으로 감지할 수 있거나(즉, 사람의 육안으로 구별할 수 있고) 광학적으로 감지할 수 있다.(즉, 광학적 검출 시스템으로 검출할 수 있다) 경계면은 완전한 변이일 필요는 없고 한 유체에서 다른 유체로 변이가 일어나는 깊이나 두께를 갖는 영역일 수 있다. 예를 들면, 제 1 초임계 유체가 그것을 따라 치환되는 길이 치수(즉, 단지 공정 중에 경계면의 운동 거리가 아닌, 용기의 전체 길이 또는 내부 치수)를 갖는 용기에서, 경계면의 두께나 깊이는 용기의 길이 치수의 약 5, 10, 15 또는 20 퍼센트가 될 수 있다. 이 두께는 용기의 내용물의 조성이 제 1 초임계 유체로 구성되거나 실질적으로 구성되는(예를 들면, 적어도 중량으로 90, 95 또는 99 퍼센트의 제 1 초임계 유체로 구성되는 영역) 용기 안의 길이 치수를 따른 제 1 지점 또는 위치와, 제 1 유체와 제 2 유체의 혼합 조성의 영역을 통과하여, 용기의 내용물의 조성이 제 2 초임계 유체로 구성되거나 실질적으로 구성되는(예를 들면, 적어도 중량으로 90, 95 또는 99 퍼센트의 제 2 초임계 유체로 구성되는 영역) 용기 안의 길이 치수를 따른 제 2 지점 또는 위치 사이의 거리로서 측정될 수 있다. 따라서, 공정의 목적과 용기의 부피에 따라 상대적으로 작거나 상대적으로 클 수 있는, 공정이 수행되는 용기의 크기에 따라서, 경계면은 예를 들면 0.01, 0.1 또는 1 에서부터 10 또는 20 밀리미터나 그 이상의 두께; 1, 5 또는 10 밀리미터에서부터 100, 200 또는 300 밀리미터나 그 이상의 두께; 10, 20 또는 30 밀리미터에서부터 300, 500 또는 1000 밀리미터나 그 이상의 두께; 기타를 가질 수 있다. 경계면은 본 발명의 치환 단계에서 "유지될" 수 있으나 통상 위에 기술된 범위 내의 두께에서 치환 공정 중에 동요할 수는 있다고 이해될 수 있다; 경계면은 또한 여기에 기술된 특정 발명이 행해지는 더 큰 공정의 단지 일부의 공정 중의 치환 단계 동안 "유지될" 수 있는 것으로 이해될 것이다.
본 발명은 세정공정, 코팅공정, 고분자화 공정과 같은 반응 공정, 리소그래피 공정, 추출 공정 기타에 한정되지 않으나 이들을 포함하는 다양한 다른 제조 공정들에서 초임계 유체를 이동하거나 치환하는데 사용될 수 있다.
본 발명에 의해 세정될 수 있는 소자들의 예는 반도체 기판을 포함하는 유전체, 금속(구리)을 포함하는 반도체 기판, 집적 회로, 마이크로 전자기계(MEMs) 소자, 광전자 소자, 그리고 레지스트가 도포된 기판을 포함하나 이에 한정되지는 않는다. 레지스트는 통상 고분자 물질을 포함하여 구성되고, 양성 작용 레지스트 또는 음성 작용 레지스트가 될 수 있다. 건조 공정이 수행될 때 레지스트는 패터닝되거나 패터닝되지 않거나 현상되거나 현상되지 않을 수 있다.
어떠한 적절한 레지스트 조성도 본 발명을 수행하는데 사용될 수 있으며, 미국 특허 번호 제 6,042,997; 5,866,304; 5,492,793; 5,443,690; 5,071,730; 4,980,264; 그리고 4,491,628 호에 기술된 것들을 포함하나 이에 한정되지는 않는다. 출원인들은 여기에 언급된 모든 미국 특허 참조의 개시내용의 전부가 참조에 의하여 여기에 통합되는 것을 특히 의도한다.
레지스트 조성은 스피닝(spinning), 침지(dipping), 롤러 코팅(roller coating) 또는 다른 전통적인 코팅 기술과 같이 일반적으로 알려진 절차에 의하여 액상 조성물로서 기판에 적용될 수 있다. 스핀 코팅에서, 코팅 용액의 용적은 사용되는 특정 코팅 장치, 용액의 점도, 스피너의 속도와 스피닝에 허용된 시간에 기초하여 원하는 필름 두께를 제공하도록 조정될 수 있다.
레지스트 조성물은 포토레지스트로 코팅하는 것을 포함하는 공정에서 통상 사용되는 기판에 적절하게 적용될 수 있다. 예를 들면, 조성물은 마이크로프로세서와 다른 집적 회로 구성 요소의 제조를 위한 실리콘 웨이퍼(실리콘 다이옥사이드, 실리콘 나이트라이드, 폴리실록산드 및/또는 금속, 기타와 같은 하나 또는 그 이상의 층을 그 위에 포함할 수 있는)위에 적용될 수 있다. 알루미늄-알루미늄 옥사이드, 갈륨 아세나이드, 세라믹, 쿼츠 또는 구리 기판들이 또한 적용될 수 있다. 액정 표시와 다른 평판 표시 응용에 사용되는 기판들도 또한 적절히 적용될 수 있는데, 예를 들면, 유리 기판, 인듐 틴 옥사이드가 코팅된 기판과 같은 것들이다.
표면에 포토레지스트를 코팅한 후, 되도록이면 포토레지스트 코팅의 끈적임이 없을 때까지 용매를 제거하기 위하여 열에 의하여 건조된다. 다른 방법으로 여기에서 기술된 절차에 의하여 건조될 수 있다. 그 후, 통상적인 방법으로 이미지가 만들어진다. 노광은 레지스트 코팅 층에 패터닝된 이미지를 생성하기 위하여 포토레지스트 시스템의 포토액티브 구성 요소를 효과적으로 활성화하는데 충분하다.
노광 후에 조성물의 필름 층은 베이크될 수 있다. 그리고 나서, 필름은 적절한 현상 용액(현상 용액의 선택은 부분적으로 레지스트 물질의 특정 선택에 의존할 것이다)에 필름 레지스트 층을 접촉하여 현상될 수 있다. 예를 들면, 현상액은 극성 현상액일 수 있는데, 예를 들면, 수산화 나트륨, 수산화 칼륨, 탄산 나트륨, 중탄산 나트륨, 규산 나트륨, 소듐 메타실리케이트가 좋은 예가 될 수 있는 무기 알칼리; 테트라-알킬 암모늄 하이드록사이드 용액과 같은 4차 수산화 암모늄 용액; 에틸 아민, n-프로필 아민, 다이에틸 아민, 다이-n-프로필 아민, 트리에틸 아민, 또는 메틸다이에틸 아민과 같은 다양한 아민 용액; 다이에탄올 아민 또는 트리에탄올 아민과 같은 알코올 아민; 파이롤, 피리딘, 기타와 같은 고리형 아민과 같은 수성계 현상 용액이다. 현상 후에 레지스트는 선택적으로 헹궈지고(예를 들면 수성 헹굼액으로) 그리고 나서 바람직하게는 여기에 기술된 건조 절차에 따라 건조된다.
기판 위의 포토레지스트 코팅을 현상한 후에, 현상된 기판은 레지스트가 없는 영역에 선택적으로 공정이 진행될 수 있다. 예를 들면, 기술분야에 공지된 절차에 따라 레지스트가 없는 기판 영역에 화학적 식각 또는 증착에 의하여 공정을 진행하는 것이다. 마이크로일렉트로닉 기판의 제조, 예를 들면 실리콘 다이옥사이드 웨이퍼의 제조에 대하여, 적절한 식각제는 알려진 기술에 의하면 플라즈마 흐름에 따라 공급되는 가스 식각제, 예를 들면 CF4 또는 CF4/CHF3 식각제와 같은 염소 또는 불소계 식각제를 포함한다.
코팅 조성물은 아래에서 더 논의된다. 본 발명을 수행하는데 사용되는 이산화탄소 세정 건조 또는 세정 조성물은 통상 아래를 포함하여 구성된다.
(a) 통상 중량으로 적어도 20, 30, 40, 50 또는 60 퍼센트인 이산화탄소;
(b) 0, 0.01, 0.1, 0.5, 1 또는 2 퍼센트에서 5 또는 10 퍼센트나 그 이상의 표면활성제;
(c) 0, 0.01, 0.1, 1 또는 2 에서 30, 40 또는 50 퍼센트 또는 그 이상의 유기 공 용매(co-solvent);
(d) 선택적으로, 그러나 몇몇 실시예에서는 바람직하게, 0, 0.01, 또는 0.1 에서 2, 5 또는 10 퍼센트의 물; 및
(e) 물이 포함되는 경우에는 전달되는 수용성 화합물/세정 부가물이 기판의 세정을 촉진하기에 충분한 양으로 포함된다.
바람직하게는 표면활성제 및/또는 공-용매 중 적어도 하나가 세정/건조 조성물에 포함되고(예를 들면, 적어도 0.01 퍼센트로), 선택적으로 표면활성제와 공-용매가 모두 조성물에 포함될 수 있다. 특정한 세정 적용과 기판의 성질에 따라, 조성물에 물이 포함되거나 포함되지 않을 수 있다. 다르게 지적되지 않으면 여기서 퍼센티지는 중량 퍼센티지로 표현된다.
세정/건조 조성물은 액체 또는 극저온 액체를 포함하는 초임계 유체로서 공급될 수 있다. 액체와 초임계 이산화탄소는 확립된 사용법에 따라 여기에서 함께 "농축된" 이산화탄소로 불린다.
유기 공-용매는 하나의 화합물 또는 두 개나 그 이상의 성분을 갖는 혼합물일 수 있다. 유기 공-용매는 알코올(다이올, 트리올, 기타를 포함하는), 에테르, 아민, 케톤, 카보네이트, 또는 알케인, 또는 하이드로카본(알리파틱 또는 아로마틱)이거나 이들을 포함하여 구성될 수 있다. 유기 공-용매는 위에 언급된 것과 같은 알켄의 혼합물, 또는 위에 기술된 것과 같은 하나 또는 그 이상의 알코올과 같은 첨가 화합물과 조합된 하나 또는 그 이상의 알켄의 혼합물과 같은 화합물의 혼합물일 수 있다.(예를 들면, 0 또는 0.1에서 5%의 C1 에서 C15 알코올(다이올, 트리올, 기타를 포함하는)). CO2-비친화기(예를 들면, 유성친화기)에 연결된 CO2-친화기(PCT 출원 WO96/27704에 기술된 것과 같은)를 포함하는 표면활성제와 CO2-친화기를 포함하지 않는 표면활성제(즉, 소수성(통상 유성친화성)기에 연결된 친수성 그룹을 포함하는 표면활성제) 모두를 포함하여, 어떤 표면활성제라도 본 발명을 수행하는데 사용될 수 있다. 단일 표면활성제가 사용될 수 있고, 또는 표면활성제의 조합이 사용될 수 있다. 여러 가지 표면활성제들이 당업자들에게 알려져 있다. 예를 들면, [McCutcheon's Volume 1: Emulsifiers & Detergents(1995 North American Edition) (MC Publishing Co., 175 Rock Road, Glen Rock, N.J.07452)]을 보라. 본 발명을 수행하는데 사용될 수 있는 주요 표면활성제 형태의 예들은 다음을 포함한다: 알코올, 알케놀아마이드, 알케놀아민, 알킬아릴술포네이트, 알킬아릴 술폰산, 알킬벤젠, 아민 아세테이트, 아민 옥사이드, 아민, 술포네이티드 아민과 아마이드, 베테인 유도체, 블록 폴리머, 카르복시네이티드 알코올 또는 알킬페놀 에톡시레이트, 카르복시산 그리고 지방산, 다이페닐 술포네이트 유도체, 에톡시레이티드 알코올, 에톡시레이티드 알킬페놀, 에톡시레이티드 아민 및/또는 아마이드, 에톡시레이티드 지방산, 에톡시레이티드 지방 에스테르 및 오일, 지방 에스테르, 불화탄소-계 표면활성제, 글리세롤 에스테르, 글리콜 에스테르, 헤토사이클릴-타입 생성물, 이미다졸라인 및 이미다졸라인 유도체, 이세치오네이트, 라놀린-계 유도체, 레시친 및 레시친 유도체, 리그닌 및 리그닌 유도체, 말레산 무수물 및 숙신산 무수물, 메틸 에스테르, 모노글리세라이드 및 유도체, 올레핀 술폰산염, 포스페이트 에스테르, 포스포러스 유기 유도체, 폴리에틸렌 글리콜, 폴리머릭(폴리사카라이드, 아크릴산, 및 아크릴아마이드) 표면활성제, 프로폭실레이티드 및 에톡시레이티드 지방산 알코올 또는 알킬 페놀, 단백질-계 표면활성제, 쿼터너리 표면활성제, 사코신 유도체, 실리콘-계 표면활성제, 비누, 소비탄 유도체, 수크로스 및 클루코스 에스테르 및 유도체, 오일과 지방산의 황산염과 술폰산염, 에톡시레이티드 알코올의 술폰산염, 지방 에스테르의 술폰산염, 벤젠의 술폰산염, 쿠멘, 톨루엔 및 자이렌, 응축된 나프탈렌의 술폰산염, 도데실과 트리데실벤젠의 술폰산염, 나프탈렌 및 알킬 나프탈렌의 술폰산염, 피트롤륨의 술폰산염, 설포숙시나메이트, 설포숙시네이트 및 유도체, 토레이트, 치오 및 메르캅토 유도체, 트리데실 및 도데실 벤젠 술폰산, 기타.
도 1은 본 발명의 방법에 의하여 건조될 레지스트가 코팅된 기판 구조체(10)를 도시한다. 구조체는 기판(11)을 포함하며, 기판(11)은 실리콘 또는 위에서 기술된 어떤 다른 적절한 물질을 포함할 수 있고, 그 자체가 그 위에 레지스트 코팅(12)이 있는 하나 또는 그 이상의 층을 포함할 수 있다. 건조에 의하여 제거될 물방울들(14,15)이 윗 표면과 레지스트 코팅에 형성된 트렌치에 존재한다.
도 2는 발명의 방법을 수행하기 위한 장치를 개략적으로 도시한다. 장치는 액체나 초임계 이산화탄소를 담는데 적절한 밀폐 건조 용기(21)를 포함하며, 상기 용기에서 코팅된 기판(10)(또는 세정될 다른 마이크로일렉트로닉 소자)은 적절한 지지대(27)에 놓여진다. 건조 용기는 출입구, 교반 장치 또는 다른 진동 수단, 관측창, 건조 용기의 압력을 증가 또는 감소시키기 위해 건조 용기에 연결된 압축기, 열교환기, 건조 용기의 내용물의 온도를 증가 또는 감소시키기 위하여 건조 용기에 연결된 가열기 또는 냉각기, 기타를 포함할 수 있다.
이산화탄소 세정/건조 조성물 공급부(22)는 적절한 배관에 의하여 건조 용기에 연결된다. 세정/건조 조성물 공급부(22)는 그 자체가 하나 또는 그 이상의 저장 용기, 펌프, 밸브, 이산화탄소에 건조 부가물을 혼합하기 위한 배관, 기타를 포함할 수 있다. 용기는 세정될 구조체(10) 위의 레벨 28까지 세정/건조 조성물로 채워질 수 있다.
공정 조건을 조절하는데 적용되는 개별적인 기술 또는 기술들의 결합에 따라, 시스템은 건조 용기(21)에 연결된 제 2 가스, 제 2 물질, 및/또는 부가적인 이산화탄소의 공급부(24)를 포함한다.
바란다면, 기판의 현상과 건조 모두가 같은 용기(21)에서 수행될 수 있도록 현상 용액의 공급부(25)가 용기에 연결될 수 있다.
용기에 포함된 어떤 조성물이라도 배출하기 위하여 바람직하게 배출 시스템(26)이 용기(21)에 연결될 수 있다. 배출 시스템 자체는 적절한 펌프, 밸브, 압축기와 그 유사한 것(그중 몇몇 구성요소는 위에 기술된 공급 요소와 함께 다중 기능을 제공할 수 있을 것이다)을 포함할 수 있고, 이산화탄소와 같은 성분을 증류하고 선택적으로 재순환하기 위하여 증류기를 포함할 수 있고, 재사용을 위한 요소를 공급하기 위하여 여러가지 조성물이나 그 구성 성분을 재순환하는데 적절한 배관, 밸브, 기타를 포함할 수 있다. 예를들면, 이산화탄소가 재생되어 건조 조성물의 일부 또는 부가적인 이산화탄소 공급부의 소스로 재사용될 수 있도록 사용된 건조 조성물은 증류될 수 있다.
위에 언급된 바와 같이, 발명의 방법은 다음의 단계를 포함하여 구성된다:
(a) 기판에 오염물이나 잔여물질을 갖는 기판을 제공하는 단계;
(b) 밀도를 높인(즉, 액체 또는 초임계의) 이산화탄소 건조 조성물, 이산화탄소와 선택적으로 그러나 바람직하게 세정 부가물을 포함하는 세정 조성물, 및 공용매, 표면활성제와 이들의 조합으로 구성된 그룹으로부터 선택된 세정 부가물을 제공하는 단계;
(c) 밀도를 높인 이산화탄소 세정 조성물에 표면 부분을 담그는 단계; 및
(d) 표면 부분으로부터 세정 조성물을 제거하는 단계
담그는 단계, 제거하는 단계, 또는 담그고 제거하는 단계 모두 동안 세정 조성물이 레지스트 코팅에 세정 부가물이나 오염물의 실질적인 침전 또는 재침전 없이, 균질 조성물로서 유지되도록 공정 변수들이 조절될 수 있다.
바람직하게, 제공하는 단계는 균질한 용액을 만들기 위하여 이산화탄소에 부가물과 혼합하거나 부가물을 혼합하는 것에 의하여 수행되고, 다음으로 잠그는 담계는 세정 조성물을 균질한 용액으로서 유지하면서 수행된다. 이러한 혼합은 교반, 압력하에서 주입과 같은 적절한 수단에 의하여 건조 조성물 공급부(22)에서 수행된다.
제거하는 단계는 바람직하게 조성물을 균질한 용액으로 유지하면서 수행된다. 일반적으로, 이것은 조성물이 건조 용기로부터 배출될 때 조성물의 끓음을 억제하는 것에 의하여 달성된다. 액체 CO2를 용기로부터 배출할 때 액체는 CO2 증기와 평형을 이루는 상태, 포화 증기압이라고 불리는 상태에 도달한다. 포화상태를 유지하기 위하여, 액체가 벤팅이나 펌핑에 의하여 바람직하게는 용기의 바닥으로부터 제거될 때, 액체의 상(phase)은 기체의 상의 부피를 증가시키기도록 증기를 발생시키면서 끓는다. 액체/기체, 및 액체/고체의 경계면에서 시작할 수 있는 이러한 끓음은 공용매 및 표면활성제, 및 용질 오염물을 포함하는 CO2보다 낮은 증기압의 부가물을 경계면에서 농축하게 한다.
농축된 부가물, 침전된 오염물 및 액체/고체 경계면에서 끓음에 의해 발생하는 경계면의 스트레스는 레지스트 구조, 마이크로 전자기계 소자, 또는 다른 패터닝된 마이크로소자들을 손상시킨다. 이미지가 만들어지고 현상된 레지스트에 있어서, 최소선폭이 130㎚보다 작고, 종횡비가 3보다 큰 경우에 특히 손상받기 쉽다. 그러한 손상을 예방하기 위한 공정 조절은 다음과 같다.
예를 들면, 건조 또는 세정 조성물이 액체 조성물일 때, 제거 단계는 배출 단계 동안 건조 조성물의 끓음을 억제하기 충분한 양으로 공급부(24)의 제 2 의 압축된 가스(예를 들면, 헬륨, 질소, 공기, 이들의 혼합물)로 밀폐된 챔버에 압력을 가함으로써 수행될 수 있다. 제 2 가스는 포화 증기압이 CO2 보다 높고 건조 조성물에서 실질적으로 섞이지 않는 것이 바람직하다. 제 2 가스는 그 자신이 건조 또는 세정 조성물을 용기로부터 내보내는데 사용될 수 있고, 또는 배출 중 제 2 가스가 세정 용기 안에서 형성된 기체-액체 경계면에서 과-압을 유지하는 동안 건조/세정 조성물이 용기로부터 펌프되거나 다른 방법으로 배출될 수 있다.
다른 방법으로는, 건조 또는 세정 조성물이 액체의 상일 때, 배출 단계는 제 2의 챔버 또는 저장 용기를 가지고 액체-기체 평형에 의하여 끓음 없이 이루어질 수 있다. 이 계획안에서는, 챔버(21)가 가스-주변 라인(32)(위쪽)과 액체-주변 라인(33)에 의하여 저장 용기(31)에 연결된다. 각 라인은 밸브(34,35)를 포함하여 용기(21과 31)를 서로로부터 분리하거나 고립시킨다. 배출 단계 동안, 저장 용기(31)는 세정/건조 용기(21) 안의 포화 증기압과 같거나 높은 포화 압력의 액체 CO2 조성물을 포함한다. 배출은 먼저 용기(21과 31) 사이의 가스-주변 연결부(32)을 개방하고, 그후 액체-주변 연결부(33)을 개방함으로써 이루어진다. 세정 용기(21)가 저장 용기(31)보다 충분히 위에 위치하면 중력에 의해, 그리고/또는 펌핑에 의해, 세정 용기(21)로부터 저장 용기(31)로 액체가 흐른다. 위에 기술된 액체 이동은 끓음을 방지함으로써 레지스트 구조나 다른 소자 구조의 잠재적인 손상을 피할 수 있다.
건조/세정 조성물이 초임계 조성물이면 기체-액체 경계면이 존재하지 않는다. 이 경우에는, 제거 단계는 초임계 건조/세정 조성물이 위에 기술된 바와 같이 용기로부터 제거되도록 먼저 제 2 물질(예를 들면, 위에 기술된 공용매 또는 제 2 가스)을 초임계 건조/세정 조성물에 첨가함으로써 수행될 수 있다. 만일 제 2 가스가 세정/건조 조성물, 초임계 유체 상을 가스에 의해 치환하도록 사용된다면, 가스는 포화 증기압이 CO2 보다 높은 것으로부터 선택되어야 한다. 예로 들 수 있는 가스는 이에 한정되지는 않으나: 질소, 아르곤, 헬륨, 산소, 및 이들의 혼합물을 포함한다.
다른 방법으로는, 건조/세정 조성물이 초임계 상태에 있으면, 부가물을 포함하는 유체는 배출 단계 전에 순수한 초임계 CO2의 첨가와 부가물을 포함하는 초임계 CO2의 제거를 동시에 행함으로써 충분히 희석될 수 있다. 충분한 유체 회전이 이루어지고 부가물 농도가 효과적으로 감소한 후에, 액체 상태를 피하여 전이가 직접 가스 상태로 만들어질 때까지 유체를 초임계 상태로 유지함에 의해 초임계 유체는 건조 용기로부터 벤팅된다. 이것은 용기의 압력이 혼합물의 임계 압력(PC) 아래에 있을 때까지 유체 온도를 혼합물의 임계 온도(TC) 위로 유지함에 의하여 배출/벤팅 단계 동안 이루어진다. 도 3은 압도적인 CO2 초임계 혼합물에서 액체 상을 피한 기체로의 전이의 가능함을 나타내는 압도적인 CO2 시스템의 상태도(phase diagram)를 도시한다. 초임계 유체의 팽창과 이어서 일어나는 잔여 가스의 팽창은 흡열 과정이기 때문에, 유체나 가스의 온도를 임계 온도 위로 유지함으로써 초임계 유체나 가스가 액체나 고체로 농축하는 것을 피하기 위하여 시스템에 열이 가해질 필요가 있을 수 있다. 초임계 상태에서 가스 상태로의 직접적인 전이에 영향을 주는 것에 의하여, 액체 상태의 끓음은 피해지며 따라서 액체/고체 경계면에서 액체 메니스커스를 끌어당김에 의해 야기되는 경계면의 스트레스와 마이크로구조에서 또는 그 위에 용질의 원하지 않는 침전을 방지할 수 있다.
다른 실시예에서, 제거단계는 건조/세정 조성물을 공급부(24)로부터의 부가적인 이산화탄소로 희석함으로써 수행되며, 상기 희석 동안 조성물은 배출 시스템(23)에 의해 용기로부터 제거된다. 이와 같은 기술에서는 많은 양의 이산화탄소가 필요하므로, 이어지는 재사용을 위하여 이산화탄소를 공급부(22) 또는 공급부(24)로 되돌리기 위한 적절한 배관과 밸브와 함께 배출된 이산화탄소를 증류하기 위한 증류기의 사용이 선호된다.
또 다른 실시예에서, 건조/세정 챔버에 있는 초임계의 CO2, 또는 액체 및 기체의 CO2를 치환하기 위하여 CO2 가스의 포화점보다 위의 압력 범위에서 제 2 가스가 사용되며 이때 제 2 가스의 대부분을 증기 상태로 남긴다. 제 2 가스는 더 낮은 압축열을 가지며, 시스템의 열손실을 적게 하며 챔버로부터 대기압으로 배출될 수 있다. 또한 더 작은 줄-톰슨 계수(μ)에 의해 나타내지는 바에 의하면, 높은 압력으로부터 대기압의 조건으로 가스의 팽창은 기판에서 또는 기판 가까이에서 온도의 변화를 작게 한다. (μCO2 > μx, x = 제 2 가스).
μ=(dT/ dP)H
이 실시예에서, 높은 생산성을 위하여 빠른 압력 싸이클이 바람직할 때 열 쇼크를 피하기 위하여 제 2 가스가 유용하다. 실리콘 웨이퍼와 같은 기판은 기판에 큰 열 경사도가 존재할 때 깨지거나 손상을 입을 수 있다. 가스 팽창으로부터 챔버와 용기의 냉각은 또한 귀중한 공정 시간을 부가할 수 있고 온도 조절을 위하여 상당한 열입력을 필요로 할 수 있다. 제 2 가스의 사용은 열손실과 열입력을 최소화하여, 잠재적으로 사이클 시간과 에너지 요구를 줄일 수 있다.
순환 상 변조(Cyclic phase modulation). 위에서 언급한 바와 같이, 한 실시예에서 세정 단계는 순환 상 변조(CPM : Cyclic Phase Modulation), 즉, 세정 조성물의 상을 순환하여 조절/변화하면서(즉, 세정 조성물을 액체에서 기체, 액체에서 초임계, 초임계에서 기체, 초임계에서 액체, 기타로 상을 순환하여 변화시키면서) 수행된다. CPM은 레지스트, 레지스트 잔여물, 유기 잔여물, 특정 물질과 그 유사물에 대한 (1) 향상된 물리적 (2) 향상된 화학적 작용을 가져오는 CO2 고밀도 상/세정 조성물의 공정 조절을 적용한다. 1)에 관련하여, 액체와 초임계 CO2는 CO2가 벌크 상(bulk phase)에 분자 레벨로 침투하여 분자 상호간과 분자 내에서의 결합 상호작용을 증대시키면서 유기 폴리머를 가소화한다. CPM 동안, 유체의 밀도가 아래 위로 변조함에 따라, 이산화탄소 질량은 폴리머 벌크 상의 안팎으로 확산한다. 이 과정은 팽창, 수축, 얇은 층으로 갈라짐, 잠재적인 분리 및 표면으로부터의 폴리머 물질의 최종적인 제거를 촉진하는 벌크 폴리머 위의 스트레스와 변형을 야기한다. 고밀도 이산화탄소 세정은 바람직하게는 공-용매, 표면활성제, 반응물질, 및 때로는 물을 사용하여 향상되므로, 고밀도 상은 이러한 물질들에 대하여 또한 좋은 케리어가 되어야 한다. 2)에 관련하여, CPM은 화학 부가물을 A) 연속적인 상, B) 기판의 표면, 그리고 C) 레지스트 잔여물처럼 제거되어야 할 물질의 벌크-상에 분배하는 것을 조절하는데 사용된다.
많은 유기 물질들이 온도(T)와 압력(P) 조건의 범위 아래에서, 다르게는 연속적인-상 밀도로 표현되는, 액체 및/또는 초임계 CO2에 용해될 수 있다. 이 범위에서의 물질의 용해도는 또한 농도에 의존한다. 높은 극성의 낮은 증기압 물질과 함께 물 및 무기 물질은 통상 액체 및 초임계 CO2에 용해하지 않는다. 그러나, CO2-친화성을 갖는 표면활성제는 과밀한 CO2에 이러한 물질들을 분산하고 유화하는데 매우 유용한 것으로 보여왔다. 더욱더, 불소화된 또는 실록세인계의 성분을 포함하지 않는 전통적인 표면활성제는 일정한 공-용매 수정자(modifier)와 결합할 때 고밀도 상의 CO2에서 유용한 것으로 보여왔다. CPM 중에, 연속적인 상의 밀도는 변동하므로, 화학 부가물들은 연속적인 상과 기판의 표면 사이에서 용해하고, 분산하고, 또는 유화하여 분배된다. 더욱더, 폴리머성과 다공성 잔여물들의 벌크 상에서 CO2와 부가물은, CPM의 결과로서, 다른 속도로 벌크 물질로부터 확산하여, 벌크 상에서 부가물들을 농축시킨다. 벌크 상에서 이러한 농축 효과는 동력학적으로 잔여물들의 팽윤과 용해를 향상시킨다. 예를 들면, 과밀한 CO2에서 팽윤과 용해를 방해하는 극성 수소결합 작용그룹을 포함하는 유기 폴리머 잔여물의 경우를 고려해 보자. 용해가능한 수소결합 공-용매가 벌크 폴리머의 팽윤과 궁극적으로 기판으로부터의 물질의 제거를 향상시키기 위하여 CO2와 함께 적용될 수 있다. 그러나, 이 물질의 팽윤과 용해 또는 분산은 동력학적으로 CO2 안의 부가물의 농도에 의하여 제한된다. CPM에서, (T)와 (P)의 조건은 연속적인 상과 웨이퍼의 표면 사이와, 잔여물의 벌크 상에서 분배를 일으키기 위하여 조절될 수 있다. 이 과정은 분자 레벨에서 잔여물 안과 위에서 부가물의 국부적인 농도를 증가시킨다. 이 농축 효과는 CO2에서 부가물의 용해, 분산, 또는 유화에 대한 동역학적인 장점을 보여준다.
요약하면, 고밀도 상의 이산화탄소와 화학 부가물을 동반하는 CPM은 마이크로일렉트로닉 기판의 제조 중에 만나게 되는 레지스트, 레지스트 잔여물, 미립자, 및 유기 물질에 대한 물리적 화학적 작용을 향상시켜 이들 물질의 제거를 향상시킨다.
실험 웨이퍼 세정 과정 중의 순환 상 변조(CPM). 집적 회로의 제조 중에, 반도체 웨이퍼는 다음 과정, 도 4, 에서 고밀도 상의 이산화탄소를 사용하여 식각 단계 후에 세정된다. 과밀한 이산화탄소는, 뒤에 고압 용기로 기술되는 압력 용기(Ⅰ)(50)에 300 에서 5000 사이의 psi 와 -20℃와 100℃ 사이의 온도의 조건에서 저장된다. 웨이퍼가 세정 챔버(Ⅲ)(51)에 자동 또는 수동 방식으로 로딩되며 세정 챔버(Ⅲ)(51)에서 웨이퍼는 회전할 수 있도록 척과 밀폐된 샤프트(미도시)에 연결된 플랫폼(XI)(52)에 놓여진다. 플랫폼에 놓여진 웨이퍼의 위에 고밀도 상의 이산화탄소와 화학 부가물의 흐름을 분산시키고 웨이퍼 표면에 실질적인 유체 작용을 이끌도록 고안된 스프레이 바(Ⅹ)(53)가 위치한다. 세정 챔버(Ⅲ)는 밸브(ⅰ)(55)를 통하여 벌크 스토리지 탱크(XII)(54)로부터 또는 밸브(a)(56)를 통하여 압력 용기(Ⅰ)(50)로부터 깨끗한 이산화탄소를 공급받아 -20℃와 100℃ 사이의 온도에서 300 psi 와 5000 psi 사이의 압력에 이르도록 가압된다. 과밀한 CO2의 온도는 열교환기(Ⅱ)(60)를 사용하여 조절될 수 있다. 덧붙여, 챔버(Ⅲ)(51)안의 공정 상의 온도는 챔버 내부 또는 외부의 열교환기를 사용하여 조절될 수 있다. 요구되는 대로 고도로 여과된 화학 부가물이 고밀도 CO2의 첨가 동안 또는 택일적으로 고밀도 CO2의 첨가 전에 밸브(b)(62)를 통하여 부가물 첨가 모듈(Ⅵ)로부터 세정 챔버(Ⅲ)(51)에 더해진다. 부가물 첨가 모듈은 세정 챔버로 보내는 부가물질을 저장, 여과, 혼합하고 연속적으로 또는 동시에 계량하는 역할을 담당한다. 세정 공정 동안, 고밀도 상의 CO2는 선택적으로 세정 챔버로부터 펌프(Ⅶ)(63)를 사용하여 밸브(e)(66)를 통하고 고체 분리 필터(Ⅷ)(64)와 밸브(f)(65)를 통하고 스프레이 바(Ⅹ)(53)를 통하여 챔버로 다시 순환된다. 순환 동안 웨이퍼는 0에서 3000 rpm 사이의 속도로 회전될 수 있다. 또한 세정 단계 동안, 시스템의 밀도는 순환적으로 변조된다. 이것은 다음 순서에 따라 이루어질 수 있다. 과밀한 CO2를 담고있는 고-압력 용기인 압력 용기(Ⅰ)(50)는 세정 챔버(Ⅲ)(51)의 압력보다 특히 높은(50 에서 2000 psi 더 큰) 압력으로 유지된다. 저-압력 용기인 압력 용기(Ⅴ)(70)는 세정 챔버(Ⅲ)(51)보다 특히 낮은(50에서 3000 psi 더 낮은) 압력으로 유지되고, 상기 독립적인 용기들의 온도는 대략 동일하다. 순환 과정에서, 밸브(a)(56)가 먼저 열려 질량이 (Ⅰ)과 (Ⅲ) 사이에 흐르도록 한후 닫힌다. 밸브(d)(71)가 그리고 나서 열려 (Ⅲ)과 (Ⅴ) 사이에서 질량이 흐르도록 한다. 그후 화학 부가물을 CO2와 제거된 폐기물로부터 분리하는 역할을 하는 필터나 다른 분리기와 같은 분리기/경감(abatement) 모듈(Ⅸ)(73)에 연결되는 밸브(g)(72)가 열린다. 경감 모듈은 또한 제거된 CO2 질량이 밸브(h)(74)를 통하여 탱크(Ⅰ)에 첨가되어 질량 흐름 순환을 완결하도록 한다. 선택적으로, CO2 질량이 벌크 스토리지로부터 얍력 용기(Ⅰ)에 첨가되어 챔버(Ⅲ)보다 용기(Ⅰ)에서 높은 압력을 회복하도록 할 수 있다. 이러한 질량 흐름 순환은 일정한 세정 순환에서 여러 번 반복되어(1에서 50사이) 결과적으로 순환 상 변조(CPM)가 일어난다. 세정 챔버(Ⅲ)에서 고밀도 CO2의 순환은 CPM 동안 펌프(Ⅶ)와 밸브(e)와 (f)를 사용하여 선택적으로 증진될 수 있다. 세정 단계 동안, CPM은 밸브(c)(81)를 열고 가변 볼륨 챔버(Ⅳ)(80)를 사용하여 대신 얻어질 수 있다. 이 계획에서는, (Ⅳ)의 부피가 순환적으로 일정 세정 순환에서 1에서 500번 증가되고 감소된다. 이 CPM 계획에서, 유체는 펌프(Ⅶ)와 밸브 (e)와 (f)를 사용하여 세정 챔버(Ⅲ)를 통하여 순환될 수 있다. 웨이퍼 표면으로부터 오염물을 제거하기에 충분한 주기 후에, 고밀도 상의 CO2 혼합물은 밸브(a)를 통하여 탱크(Ⅰ)으로부터 순수한 고밀도 상의 CO2의 첨가와 함께 시스템으로부터 밸브(d)를 통하여 용기(Ⅴ)로 흘려진다. 이 헹굼 과정은 모든 부가물과 폐기물이 챔버로부터 제거될 때까지 계속된다. 과밀한 CO2는 세정 챔버(Ⅲ)로부터 폐기 또는 경감 시스템으로 배출된다.
전달할 수용성 화합물. 위에 언급된 바와 같은 세정 시스템에서 물을 채용하는 실시예에서 세정을 촉진하기 위하여 운반되는 수용성 화합물의 예는 다음을 포함하나 이에 한정되지는 않는다: 산(HF, HF/NH4F(또한 "BOE"-완충 옥사이드 식각(buffered oxide etch) 또는 "BHF"-완충 HF(buffered HF)로 알려진), H2SO4, HCl, HBr, H3PO4, HNO3, CH3CO2H, H2S2O8, KCN, KI, 등을 포함하나 이에 한정되지는 않는); 반응물 (H2O2, NH4F 과 NH4F2, SiCl4, SiHCl3, Si(C2H5O)4, Br, I, EDTA, 표면활성제, (NH4)2SO4, O3, H2, SO3, N2O, NO, NO2, F2, Cl2, Br2, 기타를 포함하나 이에 한정되지 않는); 아칼리 또는 염기 (NH4OH, KOH, NaOH, 기타를 포함하나 이에 한정되지는 않는); 약 염기와 이온 쌍 (Choline (CH3)3N+(CH2CH2OH·OH), 3차 아민, 기타를 포함하나 이에 한정되지는 않는)과 이들의 결합물들.
마이크로일렉트로닉 구조의 이산화탄소 세정 이후의 오염물의 조절 방법. 이온 주입, '라인끝단'(BEOL: back end of the line) 세정 공정, '라인 시작단'(FEOL:front end of the line) 세정 공정, 및 CMP 후 단계에서 마이크로일렉트로닉 기판의 표면 구조물로부터 제거된 오염물질은 성질과 성분이 극단적으로 변화한다. 따라서, 세정 단계는 이러한 오염물질들과 반응하거나, 이들을 이온화, 용해, 팽윤, 분산, 유화, 또는 기판으로부터 증발시키기 위한 적절한 화학물질과 용매를 역점을 두어 다루어야 한다. 그 자체로서 다양한 물과 용매에 기초한 시스템 및 건식 세정 공정은 매우 다양한 폐기 물질들을 다루기 위하여 존재한다.
그러나, 모든 오염물질과, 부가물을 기판으로부터 완전히 제거하여 기판을 유기, 무기, 금속 또는 혼합된 폐기물질(예를들면, 공정이 완성된 후 남는 오염물의 양은 무게로 세정 공정 전의 오염물질 양의 5%, 1%, 0.1%, 0.05%, 0.01%, 0.005%, 0.001%보다 크지 않거나 더 작다)이 없거나 실질적으로 또는 본질적으로 없도록 하는 요구는, 모든 세정 공정에 공통된다. 이러한 이질적인 물질들은 종종 습식-세정 공정으로부터의 잔여물에서 보여지며, 종종 물마크로 불리는데, 집적 회로와 다른 마이크로일렉트로닉 소자의 궁극적인 성능에 극적이고 유해하게 영향을 미친다. 이것은 헹굼 단계에서 다량의 초고순도의 물 및/또는 용매를 사용함으로써 습식-세정과 용매에 기초한 세정 공정에서 전통적으로 최소화된다. 이러한 공정에서는, 물질의 재침전이 최소화되도록 유체 흐름이 기판으로부터 오염물질을 쓸어내는 방식으로 조절된다. 이러한 헹굼 실행은 소자의 최소선폭이 계속 줄어듦에 따라 증가하는 물과 용매에 기초한 폐기물의 흐름을 다량 발생하게 한다. IPA 건조제와 같은 증기-상의 건조제는 물마크 또는 물-반점의 발생을 최소화하기 위하여 또한 일반적으로 사용된다.
액체와 초임계 CO2-계 세정과 건조 공정은 마이크로일렉트로닉 기판의 제조에서 제안되어 왔다. 세정 단계 동안 또는 세정 단계 후에 마이크로일렉트로닉 기판의 표면 구조의 또는 그 위로의 오염물질의 재침전을 효과적으로 제거하는 공정 방법론이 필요하다. 여기에 개시된 공정들은 정해진 흐름과 페기물 시나리오로부터 나오는 공정 헹굼 유체의 과도한 사용을 유리하게 최소화하면서 이 작업을 성취한다. 도 5는 본 발명의 이 실시예의 전체적인 기술을 위한 기본적인 공정 다이아그램을 나타낸다.
이산화탄소 세정 단계 동안 챔버 안의 유체는 초임계 상태 또는 액체 상태에 있을 수 있다. 더욱, 액체 CO2 조성물은 포화된 액체 CO2(액체 및 기체가 소정의 비율로 공존하는)라고 불리는, 포화점에 있을 수 있고, 또는 압축(액체 메니스커스 없음)될 수 있다. 본 발명의 목적에 대하여 각 시나리오가 개별적으로 기술된다.
세정 부가물과 오염물질의 재침전을 방지하면서 세정 챔버로부터 초임계 CO 2 조성물과 오염물질을 제거하기와 헹굼 부피의 최소화. CO2를 사용하는 세정 단계는 오염물질의 양적인 제거를 가능하게 하거나 촉진하기 위하여 공-용매, 표면활성제, 반응물, 물, 및 몇몇 또는 모두의 조합을 포함하는 다양한 화학 부가물을 사용할 수 있다. 이 물질들은 이산화탄소의 연속적인 상에서 부유, 용해, 분산, 또는 유화될 수 있다. 액체 뿐 아니라 초임계 CO2에서 물질들의 부유, 분산, 유화 및 용해까지의 안정도는 대체로 CO2 유체 밀도의 함수이다. 일반적으로 이야기하면, CO2의 연속적인 상의 밀도가 감소할수록, 부유, 분산, 또는 유화의 안정도 역시 줄어든다. 세정 챔버의 배출과 배기(drain and venting)를 통한 CO2 질량의 제거는 유체 밀도의 점차적에서 빠른 감소를 일으키므로, 이 과정은 오염물질의 경우에는 재침전과 부가물의 경우에는 침전으로 불리는 원하지 않은 물질들의 기판으로의 와해를 가져올 수 있다. 전통적인 세정 공정과 부합하여, 최소의 재침전이 이루어지도록 벤팅 단계 전에 부가물과 오염물질의 혼합물을 희석하기 위하여 다량의 초고순도의 헹굼 유체, 이 경우에는 CO2, 가 사용될 수 있다. 이것은 요구되는 유체 부피와 공정 순환 횟수에서 볼 때 불리하다.
본 발명은 도 5의 내용에 기술된 바와 같이 위에 기술된 문제들을 회피한다. 일정 정도의 오염물질 및/또는 부가물을 포함하고 있는 초임계 공정 유체는 기판에 물질의 침전이나 재침전 없이 헹굼 단계 전에 또는 헹굼 단계 후에 제거된다. 챔버Ⅰ(50)은 세정 챔버를 나타낸다. 압력 용기Ⅱ(51)는 세정 후에 공정 유체를 위한 저장 탱크를 나타낸다. 그것은 희망에 따라 경감 또는 재생 시스템과 통합될 수 있다. 시스템 Ⅲ(52)은 이산화탄소의 포화 증기압을 초과하는 포화 증기압을 갖는 제 2 가스일 수 있거나, 또는 초임계 상 또는 기체 상에서 가열된 이산화탄소일 수 있는 고순도의(즉, 원하는 정도의 깨끗함/기판에 오염물질의 재침전 없음을 얻을 수 있을 만큼 충분히 깨끗한) 기체 또는 초임계 성분의 공급원을 나타낸다. 예를 들 수 있는 제 2 가스는 다음을 포함한다: 헬륨, 질소, 아르곤, 및 산소, 또는 이들의 혼합물. 본 발명에서, 공정 챔버(Ⅰ) 안의 압력을 초과하는 압력에서 밸브(b)(53)를 통하여 시스템 Ⅲ(52)으로부터 가스의 제 2 공급원을 제공함에 의하여 오염된 초임계 유체가 공정 챔버로부터 제거된다. 밸브(b)를 여는 것과 거의 동시에, 밸브(c)(54)가 챔버(Ⅰ)와 용기(Ⅱ) 사이에서 열려 CO2와 오염물질을 마개 흐름(plug flow) 또는 배출(draining) 방식으로 제거할 수 있도록 한다. 밸브(b)는 모든 유체 물질들이 챔버(Ⅰ)으로부터 밀어내질 때까지 열린 상태를 유지하여 챔버(Ⅰ)에 연속적인 제 2 가스의 흐름을 제공하며, 이 시점에서 밸브(b)와 (c)가 닫힌다. 이 작업을 통하여, 용기(Ⅱ)의 압력은 챔버(Ⅰ)보다 낮은 압력이 유지된다.
다른 선택으로, 시스템(Ⅲ)은 챔버(Ⅰ) 안의 공정 유체의 압력과 온도를 초과하는 압력과 온도에서 초임계 CO2의 가열된 공급원을 챔버(Ⅰ)에 제공한다. 이상적으로는 시스템(Ⅲ)으로부터 첨가된 초임계 CO2는 챔버(Ⅰ)의 밀도보다 낮은 밀도를 갖는다. 이 경우에, 여러가지 밀도의 CO2 유체의 혼합은 챔버(Ⅰ)로부터 용기(Ⅱ)로 빠른 질량의 흐름에 의하여 얻어진다. 플러시(flush) 작용이 세정 챔버로부터 CO2와 오염물질을 제거한다. 이 과정은 벤팅이 뒤따르는 또는 최종 벤팅 전에 일련의 채움과 배출 순서에 있어서 최종 배출로서 사용될 수 있다.
세정 부가물과 오염물질의 재침전을 방지하면서 세정 챔버로부터 액체 CO 2 조성물과 오염물질을 제거하기와 헹굼 부피의 최소화. 헬륨 또는 질소, 또는 기체나 초임계의 CO2와 같은 제 2 가스를 도입하는 다음과 같은 절차를 사용하여 부가물의 침전과 오염물질의 재침전 없이 세정 챔버로부터 액체 CO2 조성물이 제거될 수 있다. 첫째 경우에, 먼저 챔버(Ⅰ)의 압력보다 큰 압력에서 제 2 가스를 담고 있는 시스템(Ⅲ)으로의 밸브(b)를 열어서 액체 조성물이 챔버(Ⅰ)으로부터 제거된다. 거의 동시에 또는 바로 다음에, 밸브(c)(54)가 챔버(Ⅰ)과 용기(Ⅱ) 사이에서 열려서 챔버(Ⅰ)으로부터의 액체 조성물의 마개 흐름을 강제한다. (Ⅰ)으로부터 액체의 완전한 제거 후에, 밸브(c)(54)와 (b)(53)가 닫힌다. 이 과정은 벤팅 전의 또는 일련의 채움과 배출 단계의 최종 제거 배출 단계로써 사용될 수 있다. 택일적으로, 같은 공정 단계를 사용하여 시스템(Ⅲ)으로부터 가열된 기체 CO2 또는 초임계 CO2가 공급될 수 있다. 가스 CO2의 경우에 가스의 압력과 온도는 제거될 챔버(Ⅰ) 안의 공정 유체의 압력과 온도를 초과해야 한다. 시스템(Ⅲ)에 의해 공급되는 초임계 CO2의 경우에, 유체의 밀도가 챔버(Ⅰ)안의 액체의 것보다 작은 경우에 한하여 챔버(Ⅰ) 안의 유체의 온도와 압력을 넘어서는 온도와 압력에서 유체가 공급된다. (Ⅲ)으로부터 (Ⅰ)로 (Ⅱ)로 질량의 빠른 흐름에 따른 가스 또는 초임계 CO2의 액체로의 압축은 배출 플러시 단계 동안 표면 헹굼 작용을 제공하여 공정에 유익하다. 다시, 이 과정은 챔버 벤팅 전의 또는 최종 벤트에 선행하는 일련의 채움과 배출 단계에서 최종 제거 또는 플러시 단계로서 사용될 수 있다.
다른 방법으로, 세정 챔버가 액체 CO2 조성물을 포화 증기압에서 사용하면, 유체 조성물은 기판 표면에 물질들의 침전을 막기 위하여 다음 단계에서 배출될 수 있다. 이 경우에, 드레이닝 또는 플러싱 단계 전에 액체 CO2가 포화 증기압에서 용기(Ⅱ)에 유지될 수 있다. 먼저 (Ⅰ)의 증기-상 면을 (Ⅱ)의 증기-상 면과 연결하는 밸브(e)(55)를 열고, 그 후 (Ⅰ)의 액체 면을 (Ⅱ)의 증기 면과 연결하는 밸브(c)를 열어서 액체 조성물이 (Ⅰ)로부터 배출된다. 중력에 의하여, 이것은 챔버(Ⅰ)에서 액체를 끓이지 않고 (Ⅰ)로부터 액체의 흐름을 허용한다. 운반되는 물질의 표면에의 침전을 막기 위하여 액체의 끓음이 피해진다. 증기 측면의 전달(즉, 증기 흐름을 허용하는 증기 전달 통로)이 두 챔버 사이에서 즐겨 마련된다. 다시 한번, 이 과정은 챔버 벤팅 전의 또는 최종 벤팅에 선행하는 일련의 채움과 배출 단계에서 최종 제거 또는 플러시 단계로서 사용될 수 있다.
도 6은 압력 용기안의 기판을 개략적으로 나타내는데, 상기 기판은 그 위에 증착된 초임계 유체의 박막을 갖고 있다. 도 7은 도 6에 도시한 생성물을 형성하는 과정을 개략적으로 나타낸다. 일반적으로, 압력 용기(111)는 제 1 초임계 유체(114)의 필름으로 코팅될 기판(112)과 코팅 성분(예를 들면, 거기에 녹거나, 분해되거나 또는 분산된)을 포함하거나 나르고 있는 제 1 초임계 유체를 포함한다. 제 2 초임계 유체(115)가 압력 용기에 도입되고(예를 들면, 제 1 초임계 유체보다 약간 높은 압력에서), 용기 안의 압력이 실질적으로 같게 유지되도록 제 1 초임계 유체는 용기로부터 밀려난다(예를 들면, 배경 압력 밸브를 통하여). 제 1 초임계 유체의 박막이 그것에 의하여 기판 위에 증착된다. 반응 단계와 같은 개입하는 단계들이 초임계 유체 안의 코팅 성분에 수행될 수 있고, 제 1 초임계 유체는 그 후 기판 표면에 침전된 코팅 성분을 남기고 증발될 수 있다.
본 발명을 수행하는데 제 1 초임계 유체로 사용될 수 있는 이산화-탄소 조성물은 통상 다음을 포함한다:
(a) 통상 중량으로 적어도 20, 30, 40, 50 또는 60 퍼센트의 이산화탄소;
(b) 0, 0.01, 0.1, 0.5, 1 또는 2 퍼센트에서 5 또는 10 퍼센트나 그 이상의 표면활성제;
(c) 0, 0.01, 0.1, 1 또는 2 에서 30, 40 또는 50 퍼센트 또는 그 이상의 유기 공 용매;
(d) 선택적으로, 0, 0.01, 또는 0.1 에서 2 또는 5 퍼센트의 물; 및
(e) 0.01, 0.1, 또는 1 에서 2, 5 또는 10 퍼센트 또는 그 이상의 조성물에 분배된 코팅 성분(예를 들면, 용액 또는 분산물로서)
상기 용기 안의 제 1 및 제 2 초임계 유체는 통상 약 1,000 또는 1,080 psig 에서 약 5,000 또는 10,000 psi 사이의 압력과 섭씨 약 30 또는 31도에서 섭씨 약 150 또는 250도 사이의 온도를 갖는다.
제 1 초임계 유체보다 낮은 밀도를 갖는 적절한 조성물이 제 2 초임계 유체로 사용될 수 있으며, 이는 헬륨, 아르곤, 질소, 산소, 수소, 오존, 및 이들의 혼합물을 포함하나 이에 한정되지는 않는다.
박막의 두께는 채용된 특정한 코팅 방식과 제 1 초임계 유체에 선택적으로 포함된 구성요소(예를들면, 코팅 성분)에 따라 변할 수 있으나, 전형적으로 약 100 또는 200 옹스트롬에서 약 1 또는 2 마이크론의 두께를 가질 것이다.
코팅 성분. 코팅 성분은 다음의 하나 또는 그 이상을 포함할 수 있다;
■ CO2-친화성 폴리머와 형식화된(formulated) CO2 안에 용해되거나 분산된 유전체, 포토레지스트, 윤활제, 절연물질, 염료, 기타와 같은 폴리머 물질.
○ 형식은 다음을 포함한다.
○ 초임계 상태의 순수한 CO2
○ 공용매에 의해 수정된 CO2 연속적인 상
○ 극성 매체-물의 마이크로이멀젼 영역(CO2-친화성과 CO2-비친화성 구조 모두를 갖는 표면활성제 조성을 사용하는)
○ 위의 조합
■ 표면 활성인 물질들 예들 들면, 표면에 결합하거나 묶거나 본딩하는 코팅(6,287,640 표면에 묶이는 화합물로의 기판의 표면 처리(Surface treatment of substrates with compounds that bind thereto)와 그러한 기술이 직물 기질에 적용될 수 있는 것으로서의 일반적인 기술에 대한 그안의 참조들을 보라).
□ 다음과 같은 세라믹과 유리 전구체 물질들:
○ 실리콘 조직의 전구체, 예: TEOS, 하이드로진 실세스퀴옥세인(HSQ : hydrogen silsesquioxane), 메틸 실세스퀴옥세인(MSQ : methyl silsesquioxane)
○ 다른 반도체와 Ⅳ족과 Ⅴ족 금속에 기초한 다른 조직 전구체들(화학과 물질들의 구체적인 리스트는 다음에 온다)
■ 형식화된 CO2에 용해된 구리와 알루미늄과 같은 금속 원자들
■ 형식화된 CO2에 용해되거나 분산된 금속 화합물들
■ 형식화된 CO2에 용해되거나 분산된 금속 층 전구체들(예를 들면 반도체 제조에서 '장벽-층' 물질을 형성하기 위한 특정 화학물질들 붕소를 포함하는 물질과 같은 3차 물질 뿐 아니라 탄탈륨, 텅스텐과 티타늄 나이트라이드, 카바이드 실리사이드(특정 유체-상 화학물질은 아래에 온다)
코팅 절차. 코팅 과정은 어떤 것이든 편리한 방식으로 구체화될 수 있으며, 다음을 포함하나 이에 제한되지는 않는다:
그의 모든 물리적인 변형을 포함하는 메니스커스 코팅(6,497,921 액체 이산화탄소를 갖고 하는 메니스커스 코팅을 위한 방법(Method for meniscus coating with liquid carbon dioxide) 같은 명칭의 6,083,565와 그 안의 참조들에 액체 CO2에 대하여 상세하게 기술된 관련성을 주목하라, 이 참조들은 메니스커스를 지지할 수 있는 유일한 조건으로서 액체 상에 한정된다. 그들은 또한 폴리머 물질을 갖고 하는 코팅에 한정된다.)
그의 모든 물리적인 변형을 포함하는 스핀 코팅(6,001,418 액체 이산화탄소 시스템에 대한 스핀 코팅 방법과 장치(Spin coating method and apparatus for liquid carbon dioxide systems) 와 그 안의 참조들에 액체 CO2에 대하여 상세하게 기술된 관련성을 주목하라, 이 참조들은 메니스커스를 지지할 수 있는 유일한 조건으로서 액체 상에 한정된다. 그들은 또한 폴리머 물질을 갖고 하는 코팅에 한정된다.)
○ 표면과의 반응성 결합에 의한 기판에의 표면-필름으로부터 물질의 증착(스핀, 메니스커스, 기타에 의해 형성된 표면 필름) 이의 예들:
■ "열 벽(hot wall)"증착....코팅될 표면에서의 열-촉진 반응
● 금속 화합물의 변형에 특히 적용될 수 있는.
○ 결합 및/또는 교차결합에 특히 적용될 수 있는.
□ 결합의 화학분석을 위해 표면을 생성하는데 사용되는 것과 같은 표면 화학 결합
본 발명은 다음의 제한하지 않는 예들에서 더 자세하게 설명된다.
비교예 A
액체 이산화탄소에 의한 코팅된 웨이퍼의 처리
이소프로판올(IPA)와 같은, CO2-와 섞이기 쉬운, 친수성 용매를 폴리(하이드록시스티렌)(PHS)이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 첨가하였다. 액체 CO2를 상기 고압 용기에 더했다. 액체 CO2/IPA(2% 볼륨) 혼합물 메니스커스 레벨이 웨이퍼의 표면 위로 올라가자, 웨이퍼에서 손상이 관찰되었다. 시스템이 15분 동안 혼합된 후에, 액체 CO2/IPA 혼합물은 고압 용기의 바닥으로부터 배출되었다. 액체/기체/웨이퍼의 경계에서 IPA가 끓었을 때 웨이퍼에 대한 더 많은 손상이 관측되었다.
실시예 1
액체 이산화탄소에 의한 코팅된 웨이퍼의 처리
PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 웨이퍼가 액체 CO2에 완전히 잠길 때까지 액체 CO2를 첨가하였다. 액체 CO2와 2% 볼륨인 IPA를 포함하는 혼합물(다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성 용매, 또는 어떤 것이든 친수성/CO2-친화성 표면활성제)을 액체 CO2에 잠긴 PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 첨가하였다. 웨이퍼의 손상이 관찰되지 않았다. 시스템이 15분 동안 혼합되었다. 여전히 웨이퍼에 손상이 없었다. 제 2 가스(헬륨 또는 질소)가 고압 용기의 윗부분에 첨가되었다. 액체/기체/웨이퍼 경계면에서 끓음을 방지하기 위하여 액체 CO2/IPA 혼합물이 제 2 가스의 압력 아래에서 배출되었다. 제 2 가스로 시스템이 배출된 후에 웨이퍼에 손상이 없었다. 시스템은 순수한 액체 CO2로 헹궈졌고 그리고 나서 위에서 언급한 바와 같이 배출되었다. 웨이퍼에 손상이 없었다.
실시예 2
액체 이산화탄소에 의한 코팅된 웨이퍼의 처리
PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 웨이퍼가 액체 CO2에 완전히 잠길 때까지 포화 증기압에 있는 액체 CO2를 첨가하였다. 액체 CO2와 2% 볼륨인 IPA를 포함하는 혼합물(다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성 용매, 또는 어떤 것이든 친수성/CO2-친화성의 표면활성제)을 액체 CO2에 잠긴 PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 첨가하였다. 웨이퍼의 손상이 관찰되지 않았다. 먼저 두 용기의 증기 측면에 연결된 밸브를 열고 다음으로 두 용기의 액체 측면에 연결된 밸브를 열어서 액체 CO2를 고압 용기로부터 포화 증기압에 있는 액체가 우세한 CO2를 담고 있는 다른 고압 용기에 액체 CO2를 배출하였다. 완전한 배출을 허용할 정도로 제 1 용기가 제 2 용기보다 상당히 위에 위치하여 액체는 중력에 의하여 배출되었다. 손상은 관찰되지 않았다. 헹굼액으로서 순수한 액체 CO2가 웨이퍼 조각을 담고 있는 용기에 첨가되었고, 그 액체는 계속해서 위에서 언급된 방법으로 배출되었다. 다시, 손상이 관측되지 않았다.
실시예 3
액체와 초임계 CO 2 에 의한 코팅된 웨이퍼의 처리
PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 웨이퍼가 액체 CO2에 완전히 잠길 때까지 액체 CO2를 첨가하였다. 액체 CO2와 2% 볼륨인 IPA를 포함하는 혼합물(다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성 용매, 또는 물에 대한 CO2의 수송 능력을 증가시키는 표면활성제)을 액체 CO2에 잠긴 PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 첨가하였다. 웨이퍼의 손상이 관찰되지 않았다. 웨이퍼의 표면으로부터 실질적으로 대부분의 물을 제거하는데 충분한 시간 후에, 건조 챔버에서 약 5회의 액체 회전을 실행하기 위하여 액체 혼합물이 순수한 액체 CO2로 희석되었다. 초임계 상으로의 전이를 일으키는 열이 그 후에 액체 CO2에 가해졌다. 유체와 기체의 온도를 CO2의 임계 온도보다 높게 유지함에 의하여 액체상을 피하면서 웨이퍼를 담고 있는 챔버가 그후 배출되고 배기되었다. 웨이퍼는 손상없이 챔버로부터 제거되었다.
실시예 4
초임계 이산화탄소에 의한 코팅된 웨이퍼의 처리
PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 초임계 CO2를 첨가하였다. 초임계 CO2와 2% 볼륨인 IPA를 포함하는 혼합물(다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성 용매, 또는 물에 대한 CO2의 수송 능력을 증가시키는 표면활성제)을 PHS이 코팅된 실리콘 웨이퍼의 일부와 초임계 CO2를 담고 있는 고압 용기에 첨가하였다. 웨이퍼의 손상이 관찰되지 않았다. 시스템이 15분 동안 혼합되었다. 여전히 웨이퍼에 손상이 없었다. 시스템이 아임계(subcritical)가 되고 액체 메니스커스가 형성될 때까지 제 2 가스(헬륨 또는 질소)가 고압 용기의 윗부분에 첨가되었다. 액체/기체/웨이퍼 경계면에서 끓음을 방지하기 위하여 액체 CO2/IPA 혼합물이 제 2 가스의 압력 아래에서 배출되었다. 제 2 가스에 의해 시스템이 배출된 후에 웨이퍼에 손상이 없었다. 시스템은 순수한 액체 CO2로 헹궈졌고 그리고나서 위에서 언급한 바와 같이 배출되었다. 웨이퍼에 손상이 없었다.
비교예 B
액체 이산화탄소에 의한 코팅된 웨이퍼로부터의 물의 용매화
한 방울의 물을 PHS이 코팅된 실리콘 웨이퍼의 조각에 떨어뜨렸다. 물방울을 포함하는 웨이퍼가 고압의 관찰 셀에 놓여졌다. 고압 용기에 순수한 액체 CO2를 첨가하였다. 시스템이 15분 동안 혼합되었다. 관측셀의 사파이어 윈도우를 통해 시각적으로 정해진 바와 같이 액체 CO2는 물의 전체 방울을 용매화하지 않았다.
실시예 5
액체 이산화탄소와 공용매에 의한
코팅된 웨이퍼로부터의 물의 용매화
한 방울의 물을 PHS이 코팅된 실리콘 웨이퍼의 조각에 떨어뜨렸다. 물방울을 포함하는 웨이퍼가 고압의 관찰 셀에 놓여졌다. PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 웨이퍼가 액체 CO2에 완전히 잠길 때까지 액체 CO2를 첨가하였다. 액체 CO2와 2% 볼륨인 IPA를 포함하는 혼합물(다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성 용매)을 액체 CO2에 잠기고 PHS이 코팅된 실리콘 웨이퍼의 일부를 담고 있는 고압 용기에 첨가하였다. 웨이퍼의 손상이 관찰되지 않았다. 시스템이 15분 동안 혼합되었다. 물방울은 완전히 용매화되었다. 여전히 웨이퍼에 손상이 없었다. 제 2 가스(헬륨 또는 질소)가 고압 용기의 윗부분에 첨가되었다. 액체/기체/웨이퍼 경계면에서 끓음을 방지하기 위하여 액체 CO2/IPA 혼합물이 제 2 가스의 압력 아래에서 배출되었다. 제 2 가스에 의해 시스템이 배출된 후에 웨이퍼에 손상이 없었다. 시스템은 순수한 액체 CO2로 헹궈졌고 그리고나서 위에서 언급한 바와 같이 배출되었다. 웨이퍼에 손상이 없었다.
실시예 6
액체와 초임계의 이산화탄소와 공용매에 의한
코팅된 웨이퍼로부터의 물의 용매화
수성 후-현상 공정에서와 같이, 물에 젖은 PHS가 코팅된 5 웨이퍼 전체가 전형적인 건조 챔버에 놓여졌다. 챔버는 액체 이산화탄소로 채워졌다. 전형적인 시스템은 액체 CO2와 2% 볼륨인 IPA를 포함하는 (다르게는 어떤 것이든 CO2-와 섞이기 쉬운, 친수성인 용매 또는 물에 대한 CO2의 운송 용량을 증가시키는 표면활성제) 제 2 고압 용기를 포함하였다. 혼합된 액체 CO2/IPA는 펌프를 사용하여 제 2 고압 용기로부터 건조 챔버로 더해졌다. 시스템은 15분 동안 혼합되었다. IPA의 농도가 그것의 이전의 농도의 일부로 떨어지도록 액체 CO2/IPA 혼합물이 순수한 액체 CO2의 5 액체 회전으로 플러시되었다. CO2 플러시 동안 메니스커스 형성은 없었다. CO2 플러시 후에, 액체 CO2는 35℃까지 가열되어 유체가 초임계 상으로 전이하였다. 그리고 나서 CO2의 임계 온도 위에서 유체, 그리고 계속하여 기체를 유지하도록 열이 첨가되면서 초임계 CO2는 용기로부터 배출/배기되었다. 챔버가 완전히 배기된 후에 웨이허는 건조되고 손상되지 않은 상태에서 제거되었다.
실시예 7
이미지가 만들어지고 수성으로 현상된 레지스트-코팅된 웨이퍼로부터 CO 2 와 화학 부가물을 사용한 물의 건조
PHS 포토레지스트와 PAG로 코팅된 5-인치 실리콘 웨이퍼에 이미지가 만들어지고, 0.238 노말 테트라메틸 암모늄 하이드록사이드를 사용하여 현상되고, 탈이온화수로 헹궈졌다. 젖은 웨이퍼가 그후 고압 건조 챔버로 이동되었고, 거기에서 포화 증기압에 있는 액체 CO2가 적은 양으로 첨가되었다. 친수성/CO2-친화성 표면활성제와 미리 혼합된 포화 증기압에 있는 부가적인 액체 CO2가 웨이퍼의 표면과 레지스트 패턴의 구조로부터의 물을 치환하여 제거하도록 챔버에 더해져 챔버를 통하여 순환되었다. 짧은 동안의 시간 후에 먼저 두 용기 사이의 증기-측면의 전달을 허용하고 그후 건조 용기의 바닥을 제 2 저장 용기의 바닥에 연결하는 밸브를 개방함으로써 적은 양의 액체 CO2를 담고있는 제 2 저장 용기로 액체가 배출되었다. 제 2 저장 용기가 건조 챔버의 충분히 아래쪽에 위치하여 대부분의 액체가 건조 챔버로부터 배출되었다. 건조 챔버는 그후 헹굼액으로서 순수한 액체 CO2로 채워졌고 위에 언급된 바와 같이 배출되었다. 이것은 부가물의 농도가 실질적으로 0이 되는 것을 확실히 하도록 반복되었다. 건조 챔버에 남은 소량의 액체 CO2는 그 임계점, 35℃ 위로 가열되었고, 유체/기체 온도를 임계 온도 위로 유지하여 액체 메니스커스의 형성을 피하면서 CO2가 배기되었다. 이미지가 만들어지고, 현상되고, 건조된 웨이퍼는 그후 챔버에서 옮겨져, 빛과 수분이 없는 곳에서 보관되었고, 그후 주사 전자 현미경을 사용하여 분석되었다. 현미경 사진은 현상된 구조가, 120-㎚보다 작은 라인/스페이스 패턴을 시험하여, CO2 건조 공정에 의하여 구조적으로 영향을 받지 않고 안정된 것을 보여주었다.
실시예 8
MEM의 물과 오염물질의 제거
일련의 정전기적인 액츄에이터들을 포함하는 MEM 소자를 제조하는 동안, 수성의 플루오르화수소산을 사용하여 희생 산화층이 제거되어, 기판의 표면에 평행한 피보팅 플레이트를 노출시킨다. 연속적인 헹굼 단계 후에, 소자는 고압의 CO2-계 건조 챔버에 전달되고, 건조챔버에서 액체 CO2 혼합물이 포화 증기압에서 첨가된다. 액체 CO2는 균일한 조성을 확보하기 위하여 CO2와 먼저 혼합된 CO2-친화성/친수성의 표면활성제를 포함한다. 한 주기의 순환 후에, 액체 CO2, 표면활성제, 물 및 운반되는 용질이 일정 압력에서 용기로부터 제거될 때 순수한 액체 CO2가 챔버에 공급된다. 챔버에 남아있는 액체 CO2는 그 후 임계 온도 위로 가열되어 유체를 초임계 상태로 변환한다. 공정 챔버에 있는 초임계 유체는 유체/기체 혼합물의 온도가 CO2의 임계 온도 위에 있도록 확실히 하는 역할을 하는 저장 탱크로 배출된다. 이것은 배출/배기 단계에서 액체 상태, 액체 메니스커스, 및 관련된 표면 장력을 피하는 것을 확실히 하는 역할을 한다. MEM 소자의 SEM 분석은 릴리스 스틱션 없이 피보팅 플레이트가 모두 실질적으로 기판 표면에 평행한 것을 보여준다.
실시예 9
후 CMP 세정
연마 슬러리, 연마 잔여물 및 미립자들이 다음의 공정 단계들을 사용하는 후-CMP에서 제거된다. 기판, 금속 또는 유전체 표면을 갖는 반도체 웨이퍼가 압력 용기로 로딩된다. 고순도의 CO2-친화성/친수성 표면활성제를 포함하는 액체 CO2 유화액 (emulsion) 안에 과산화수소(물 안의 30% 농도)의 수성 용액이 1,200 psi 와 실온에서 도입된다. 웨이퍼의 표면에 유화액을 농축하는데 순환 상 조절이 사용되고 재-유화가 뒤따른다. 이것은 세정 챔버의 유효 볼륨을 증가시켜 압력을 실온 1200 psi 에서 약 15℃ 790 psi 로 감소시킴으로써 이루어진다. 볼륨은 자동 가변 볼륨 실린더와 적절한 밸브를 사용하여 증가된다. 액체 CO2의 밀도가 감소함에 따라 수성 세정 용액은 짧은 시간 동안 웨이퍼의 표면에 농축된다. 그후 압력이 용기 볼륨의 감소에 의해 증가되어 세정 챔버의 압력이 1200 psi 로 회복된다. 순환이 20회 반복된다. 제 1 용액은 그러면 고순도의 CO2-친화성/친수성의 표면활성제를 갖는 CO2 유화액 안의 수성 플루오라이드로 구성되는 제 2 세정 용액에 의해 용기로부터 치환된다. 압력은 그후 위처럼 20번 순환적으로 변조된다. 고순도의 표면활성제를 갖는 1800 psi 와 20℃의 초임계 CO2는 그후 어떤 것이든 잔여 미립자의 제거를 촉진시키기 위하여 용기를 통하여 흘려진다. 초임계 CO2 헹굼은 그후 용기에 순수한 CO2를 부가함으로써 완성된다. 시스템은 마지막으로 벤팅되고 기판은 제거된다.
실시예 10
연마 슬러리, 연마 잔여물 및 미립자들이 다음의 공정 단계들을 사용하는 후-CMP에서 제거된다. 기판, 금속 또는 유전체 표면을 갖는 반도체 웨이퍼가 압력 용기로 로딩된다. 고순도의 CO2-친화성/친수성의 표면활성제를 포함하는 액체 CO2 유화액 안에 과산화수소의 수성 용액이 1,200 psi 와 실온에서 도입된다. 수성 세정 용액이 세정 용기에 연결된 가변 볼륨 챔버를 사용하여 짧은 시간동안 웨이퍼의 표면 위에 농축된다. 그리고나서 압력이 용기 볼륨의 감소에 의해 증가되어 원래의 값으로 압력을 회복한다. 순환이 20회 반복된다. 제 1 용액은 그러면 고순도의 CO2-친화성/친수성의 표면활성제를 갖는 CO2 유화액 안의 수성 플루오라이드로 구성되는 제 2 세정 용액에 의해 용기로부터 치환된다. 압력은 그후 가변 볼륨 챔버를 사용하여 위처럼 20번 순환적으로 변조된다. CO2-에 용해되는 적은 양의 킬레이팅 화학제(에틸렌디아민테트라아세트 산)을 포함하는 초임계 CO2가 그후 어떤 것이든 금속 이온들의 제거를 촉진시키기 위하여 용기를 통하여 흘려진다. 그후 어떤 것이든 잔여 미립자의 제거를 촉진시키기 위하여 고순도의 표면활성제를 갖는 초임계 CO2가 용기를 통하여 흘려진다. 초임계 CO2 헹굼은 그후 용기에 순수한 CO2를 부가함으로써 완성된다. 시스템은 마지막으로 벤팅되고 기판은 제거된다.
실시예 11
포토레지스트가 이온 주입을 위하여 기판을 패터닝하는데 사용된다. 이 공정을 위하여 사용된 포토레지스트는 다음의 단계들에서 제거된다. 기판, 이온 주입 후 반도체, 는 압력 용기에 로딩된다. 초임계 CO2가 3000 psi 와 35℃에서 용기에 더해진다. 초임계 CO2가 용기를 통하여 순환할 때, 트리에탄올아민, N-메틸-2-피롤리돈로 구성되는 공-용매 혼합물, CO2-친화성과 친수성의 성분 모두를 포함하는 표면활성제, 및 물이 첨가된다. 혼합물의 무게 조성은 7:2:1:1 이고, 첨가되는 부가물의 전체 농도는 유체 시스템의 2.5% w/v 이다. 용기의 압력은 가변 볼륨 챔버와 적절한 밸브를 사용하여 감소되어 세정 챔버에서 공정 유체의 팽창을 야기하며 이에 의해 기판의 표면 위로 부가물 혼합물의 농축된 혼합물을 압축한다. 혼합물의 온도는 팽창의 과정에서 TC 아래로 떨어져 액체 CO2로 전이를 야기한다. 가변 볼륨 챔버와 내부 가열기를 사용하여 시스템은 재-가압되고 유체 혼합물은 다시 TC 위로 가열된다. 이 순환은 20번 반복되고 순수한 초임계 CO2 헹굼이 뒤따른다. 시스템이 벤팅되고 기판이 제거된다.
실시예 12
폴리머 포토레지스트와 레지스트 잔여물은 다음의 공정 단계를 사용하여 반응성 이온 식각 후 테스트 웨이퍼의 비아 구조로부터 제거된다. 초임계 CO2와 CO2-친화성과 유기친화성 염료를 가진 고순도 표면활성제 안의 아민(트리에틸아민)이 3000 psi와 60℃ (2% w/v 아민, 1% w/v 표면활성제)에서 용기에 더해진다. 유체 혼합물은 용기를 통하여 순환된다. 유체 혼합물의 압력은 1,500 psi 로 빠르게 감소되어 그에 의하여 부가물을 기판의 표면에 농축한다. 그후 압력은 다시 3,000 psi 로 증가되어 모든 화학 부가물을 재-분산한다. 가변 볼륨 챔버를 사용하여 순환이 20번 반복된다. 온도를 가능한 60℃에 가까이 유지하기 위하여 내부 가열기를 사용하여 챔버에 열이 가해진다. 그후 챔버의 바닥의 밸브가 폐기물 챔버로 개방되면 3,500 psi 의 헬륨 가스가 세정 챔버에 더해진다. 공정 유체가 빠르게 챔버로부터 플러시되고 순수 헬륨의 가압 공기에 의하여 치환된다. 헬륨이 밖으로 배기된 후에 세정 용기는 순수한 초임계 CO2로 헹궈진다. 공-용매(2,4-펜타네디온, 3% w/v 전체)와 고순도 표면활성제(1% w/v)로 구성되는 제 2 세정 용액이 3000 psi 와 60℃에서 세정 용기에 더해진다. 내부 가열기를 사용하여 유체의 온도를 가능한 60℃에 가깝게 유지하면서 시스템의 압력은 20회 위에 기술된 바와 같이 변조된다. 제 2 기체로 헬륨을 사용하여 위에서와 같이 세정 유체가 배출되었다. 마지막으로, 순수한 초임계 CO2 헹굼이 완료되고, 제 2 기체로 헬륨을 사용하여 시스템이 배출되고, 기판이 제거되었다.
실시예 13
초임계 헬륨과 초임계 이산화탄소
사이의 경계면의 형성
고압의 관측셀을 약 섭씨 55도의 온도와 약 2800 psig의 압력에서 초임계 이산화탄소로 채웠다. 초임계 이산화탄소는 공-용매 N-메틸 피롤리돈을 약 4% 볼륨보다 작게 포함하였다. 셀 안에 거의 일정한 압력을 유지하기 위하여 배출은 배경-압력 레귤레이터를 통한다. 도 8은 약 2,900 psig 의 압력과 초임계 이산화탄소와 같은 온도에서 관측셀 윗부분으로의 초임계 헬륨의 첨가를 보여준다. 사진은 약간 올라간 위치로부터 셀에 있는 관측 포트를 통하여 찍혀졌다. 초임계 헬륨은 셀의 윗 부분에, 초임계 이산화탄소는 셀의 바닥 부분에 있고, 초임계 이산화탄소는 셀의 바닥에 있는 배출구를 통하여 셀로부터 내보내진다. 두 초임계 유체 사이의 계면의 형성은 셀의 윗쪽 영역과 아랫쪽 영역 사이의 어두운 경계로서 관측된다. 부가적인 시간 후에 부가적인 헬륨이 더해진 다음에 부가적인 초임계 이산화탄소가 셀의 바닥의 배출구로부터 내보내진 것을 도 9에서 알게 될 것이다. 그 안에 운반되는 코팅 성분을 가진 초임계 이산화탄소의 박막은 관측셀의 창에 부착되며, 이것은 기판이 코팅되는 것을 나타낸다.
도 10은 약 2900 psig 와 섭씨 약 55도에서 초임계 헬륨으로 찬 압력 셀에 초임계 이산화탄소 유체의 첨가를 보여주며, 이때 이산화탄소는 약 2950 psig 의 약간 높은 압력에서 더해진다. 초임계 이산화탄소는 헬륨을 통과하여 연속흐름으로 셀의 바닥으로 떨어지는 것을 주목하라. 이 떨어지는 연속흐름의 경계는 초임계 이산화탄소와 초임계 헬륨 사이의 경계를 나타내고 초임계 이산화탄소에 의해 운반되는 코팅 성분이 초임계 이산화탄소에 의해 기판에, 이 경우에는 관측셀의 바닥에, 퇴적되도록 한다. 바닥의 이산화탄소 상(phase)은 관측셀 안에서 거의-일정한 압력을 유지하기 위한 배경-압력 레귤레이터를 통하여 셀의 바닥을 통하여 배출되도록 허용되는 것을 주목하라.
실시예 14
초임계 유체로부터 폴리머의 코팅
혼합물에 대한 적절한 밀도와 점도(DEN1과 VIS1)가 되는 농도에서 고체 기판 위에 코팅될 폴리머 또는 다른 물질이 초임계 유체 1 (SF1)에 용해된다. 코팅될 물질의 필요한 용해도에 도달하거나 필요한 밀도와 점성도를 얻기 위하여 SF1은 또한 적절한 부가물을 포함할 수있다. 또 다른 초임계 유체 2(SF2)는 순수한 유체 또는 이 제 2 유체의 밀도와 점성도가 각각 DEN2와 VIS2가 되도록 하는 첨가물을 갖는 순수한 유체를 가짐으로써 준비될 수 있다. SF1과 SF2 모두 그 위에서 완전히 균일한 용액인 그들 자신만의 임계점을 보여준다.
SF1과 SF2는 각각 두 용액의 임계 온도와 압력 위에 있는 온도와 압력에서 본질적으로 섞이지 않는다. 이것은, DEN1 > DEN2 이면, 두 유체는 두 유체 상을 분리하는 안정한 경계면을 보여줄 수 있다. SF1과 SF2가 서로 약간의 부분적인 용해도를 갖더라도, SF1에서 SF2의 용해도는 SF1에 용해된 코팅될 물질, 또는 어떤 성분을 상당히 또는 충분히 침전시킬 정도로 충분하지 않을 수 있다. 다르게 표현하면, SF2와 그 성분은 SF2와 그 성분에 대하여 안티-용매가 될 수 없다. 비슷하게 SF1은 SF2와 그 성분에 대하여 안티-용매가 될 수 없다. 이러한 조건 아래에서 SF2는 안정한 형태로 SF1 위에 떠있을 것이고, SF2는 위에 언급한바와 같이 용기로부터 SF1을 치환하는데 사용될 수 있다. SF1과 SF2의 점성도가 끈적임과 다른 불안정한 현상으로 인하여 중력, 자연적인 대류, 또는 다른 표면 또는 입체적 힘에 기인하는 SF2의 SF1으로의 움직임을 야기하지 않는 정도이면 또한 바람직하다.
고압의 유리 메니스커스 코팅 과정(FMC : free mmeniscus coating)에 의해 코팅될 물체는 SF1에 완전히 잠길 수 있고, SF1은 이미 코팅 폴리머 또는 다른 물질을 포함한다. 코팅 과정을 시작하기 위하여, SF2는 SF1을 치환하기 시작하는 방법으로 코팅 챔버의 윗부분에 도입된다. SF1은 코팅될 기판을 적시고 부착될 것으로 예상되므로, SF1의 박막은 고체에 부착되어 남을 것이다. 필름의 두께는 치환 속도(SF2의 속도), 두 용액의 점성도(VIS1과 VIS2), 및 두 용액의 밀도(DEN1과 DEN2)에 의존할 것이다. SF2가 SF1을 치환할 때 챔버 압력의 감소에 의해 챔버로부터 두 용매의 증발을 시작하는 것이 가능하다. 이것은 코팅 용액의 점성도의 증가를 일으키고 표면에 증착되는 코팅 결과를 낼 수 있다. 필름의 두께와 코팅은 증발 속도에 의존할 것이다. 또한 압력의 감소나 증발 없이 이것을 하는 것이 가능하며, 결과로서 기판에 코팅 물질의 모노레이어 필름을 가져온다.
고압 스핀 코팅 과정에 의하여 코팅될 대상이 회전하는 척에 놓여질 수 있다. 고정된 볼륨의 용매 SF1이 대상의 중심에 놓여질 수 있고, 챔버는 SF1이 교란되지 않는 방식으로 SF2로 천천히 채워질 수 있다. 이번에는 척이 SF1 용액이 대상의 에지로 향하여 흐르기 시작하여, 박막을 형성하도록 하는 속도로 회전될 수 있다. 동시에 유체 SF2는 척의 회전에 의해 생성되는 힘의 결과로써 바깥 쪽으로 흐르기 시작할 것이다. 이 과정이 진행함에 따라 챔버안의 압력을 감소시켜 두 용매 모두의 증발을 시작하는 것이 가능하다. 증발이 진행함에 따라 SF1의 점성도는 증가할 것이며, 기판의 액체 또는 고체의 코팅을 생성한다. 필름의 두께는 두 용액의 밀도(DEN1 및 DEN2)뿐만 아니라 두 용액의 점성도 VIS1 및 VIS2 에도 의존할 것이다. 필름의 두께는 또한 회전 속도와 증발 속도에 의존할 것이다.
실시예 15
복수-층 증착
두꺼운 또는 복수-층의 필름을 형성하는 과정은 다음과 같이 수행된다: 1) 구리의 유기금속의 전구체가 기판을 포함하는 고압 셀에 있는 CO2에 용해된다. 2) 헬륨 가스가 CO2 유체 혼합물을 천천히 치환하면서 압력 셀에 더해지며 기판에 유기금속을 포함하는 용질의 필름을 남긴다. 3) 시스템의 압력이 10 atm으로 떨어져 표면 필름으로부터 CO2의 증발을 촉진하고, 4) 금속 종을 산화 상태 Cu(Ⅱ)로부터 Cu(0)으로 환원하기 위하여 10 atm의 H2 가스가 압력 용기에 더해진다. 5) 그후 압력 용기는 CO2/유기금속 혼합물로 다시 채워져 헬륨/H2 가스를 치환하며 다시 6) 헬륨 치환이 천천히 일어나고, 7) H2 환원이 뒤따른다. 이 과정은 몇차례 반복된다.
앞에서 기술한 것은 본 발명의 실례가 되나 본 발명을 한정하는 것으로 해석되어서는 안된다. 발명은 다음의 청구항과 그에 포함되는 청구항의 등가물에 의하여 정의된다.

Claims (22)

  1. 이산화탄소를 포함하여 구성되는 초임계 유체를 담은 밀폐된 압력 용기를 구비하는 단계;
    제 2 유체를, 상기 제 2 유체의 압력을 상기 초임계 유체의 압력보다 크게 하고 상기 제 2 유체의 밀도를 상기 초임계 유체의 밀도보다 작게 하여, 상기 용기에 첨가하는 단계;
    상기 초임계 유체와 상기 제 2 유체 사이에 경계면을 형성하는 단계; 및
    상기 경계면을 유지하면서 상기 제 2 유체의 압력에 의하여 상기 초임계 유체의 적어도 일부를 상기 용기로부터 치환하는 단계를 포함하는 초임계 유체를 압력 용기로부터 치환하는 방법.
  2. 제 1항에 있어서, 상기 경계면은 가시적인 경계면인 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 경계면은 광학적으로 감지할 수 있는 경계면인 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 상기 초임계 유체는 적어도 중량 50%의 이산화탄소를 포함하여 구성되는 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 상기 제 2 유체는 초임계 유체를 포함하여 구성되는 것을 특징으로 하는 방법.
  6. 제 1항에 있어서, 상기 제 2 유체는 적어도 중량 50%의 헬륨을 포함하여 구성되는 것을 특징으로 하는 방법.
  7. 제 1항에 있어서, 상기 제 2 유체는 실질적으로 헬륨으로 구성되는 것을 특징으로 하는 방법.
  8. 제 1항에 있어서, 상기 용기는 세정되어야 할 물체를 담고 있고 상기 초임계 유체는 세정 시약을 더 포함하여 구성되는 것을 특징으로 하는 방법.
  9. 제 1항에 있어서, 상기 용기는 코팅되어야 할 물체를 담고 있고 상기 초임계 유체는 코팅 성분을 더 포함하여 구성되는 것을 특징으로 하는 방법.
  10. 제 1항에 있어서, 상기 용기는 고분자화 반응 용기이고, 상기 초임계 유체는 폴리머를 더 포함하여 구성되는 것을 특징으로 하는 방법.
  11. 제 1항에 있어서, 상기 용기는 적어도 하나의 화합물이 추출될 수 있는 조성물을 담고 있고, 상기 초임계 유체는 상기 화합물로부터 추출되는 적어도 하나의 화합물을 더 포함하여 구성되는 것을 특징으로 하는 방법.
  12. 이산화탄소를 포함하여 구성되는 초임계 유체를 담은 밀폐된 압력 용기를 구비하는 단계;
    제 2 유체를, 상기 제 2 유체의 압력을 상기 초임계 유체의 압력보다 크게 하고 상기 제 2 유체의 밀도를 상기 초임계 유체의 밀도보다 작게 하여, 상기 용기에 첨가하는 단계;
    상기 용기는 상기 초임계 유체가 그것을 따라 치환되는 길이 치수를 갖고 상기 경계면은 상기 길이 치수의 10 퍼센트보다 크지 않은 두께를 가지면서, 상기 초임계 유체와 상기 제 2 유체 사이에 경계면을 형성하는 단계; 및
    상기 경계면을 유지하면서 상기 제 2 유체의 압력에 의하여 상기 초임계 유체의 적어도 일부를 상기 용기로부터 치환하는 단계를 포함하는 초임계 유체를 압력 용기로부터 치환하는 방법.
  13. 제 12항에 있어서, 상기 경계면은 가시적인 경계면인 것을 특징으로 하는 방법.
  14. 제 12항에 있어서, 상기 경계면은 광학적으로 감지할 수 있는 경계면인 것을 특징으로 하는 방법.
  15. 제 12항에 있어서, 상기 초임계 유체는 적어도 중량 50%의 이산화탄소를 포함하여 구성되는 것을 특징으로 하는 방법.
  16. 제 12항에 있어서, 상기 제 2 유체는 초임계 유체를 포함하여 구성되는 것을 특징으로 하는 방법.
  17. 제 12항에 있어서, 상기 제 2 유체는 적어도 중량 50%의 헬륨을 포함하여 구성되는 것을 특징으로 하는 방법.
  18. 제 12항에 있어서, 상기 제 2 유체는 실질적으로 헬륨으로 구성되는 것을 특징으로 하는 방법.
  19. 제 12항에 있어서, 상기 용기는 세정되어야 할 물체를 담고 있고 상기 초임계 유체는 세정 시약을 더 포함하여 구성되는 것을 특징으로 하는 방법.
  20. 제 12항에 있어서, 상기 용기는 코팅되어야 할 물체를 담고 있고 상기 초임계 유체는 코팅 성분을 더 포함하여 구성되는 것을 특징으로 하는 방법.
  21. 제 12항에 있어서, 상기 용기는 고분자화 반응 용기이고, 상기 초임계 유체는 폴리머를 더 포함하여 구성되는 것을 특징으로 하는 방법.
  22. 제 12항에 있어서, 상기 용기는 적어도 하나의 화합물이 추출될 수 있는 조성물을 담고 있고, 상기 초임계 유체는 상기 화합물로부터 추출되는 적어도 하나의 화합물을 더 포함하여 구성되는 것을 특징으로 하는 방법.
KR1020057013683A 2003-01-27 2003-12-08 마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를이동하는 방법 KR20050097514A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US44286703P 2003-01-27 2003-01-27
US60/442,867 2003-01-27
US10/448,474 US6905555B2 (en) 2001-02-15 2003-05-30 Methods for transferring supercritical fluids in microelectronic and other industrial processes
US10/448,474 2003-05-30

Publications (1)

Publication Number Publication Date
KR20050097514A true KR20050097514A (ko) 2005-10-07

Family

ID=32853325

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057013683A KR20050097514A (ko) 2003-01-27 2003-12-08 마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를이동하는 방법

Country Status (6)

Country Link
US (1) US6905555B2 (ko)
EP (1) EP1590307A4 (ko)
JP (1) JP2006513582A (ko)
KR (1) KR20050097514A (ko)
AU (1) AU2003299658A1 (ko)
WO (1) WO2004070776A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100872873B1 (ko) * 2007-07-03 2008-12-10 세메스 주식회사 기판 제조용 초임계 유체 공급 장치

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100750018B1 (ko) * 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치
WO2003037570A1 (en) * 2001-11-02 2003-05-08 Poly Systems Pty Ltd Nail gun
US20040016450A1 (en) * 2002-01-25 2004-01-29 Bertram Ronald Thomas Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
AU2003220443A1 (en) * 2002-03-22 2003-10-13 Supercritical Systems Inc. Removal of contaminants using supercritical processing
EP1442802A1 (en) * 2003-01-28 2004-08-04 Linde Aktiengesellschaft Cleaning with liquid carbon dioxide
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
DE102004037902A1 (de) * 2004-08-05 2006-03-16 Robert Bosch Gmbh Verfahren zur Abscheidung einer Anti-Haftungsschicht
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US9180423B2 (en) * 2005-04-19 2015-11-10 SDCmaterials, Inc. Highly turbulent quench chamber
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
CA2615452C (en) 2005-07-15 2015-03-31 Micell Technologies, Inc. Polymer coatings containing drug powder of controlled morphology
US20090062909A1 (en) * 2005-07-15 2009-03-05 Micell Technologies, Inc. Stent with polymer coating containing amorphous rapamycin
TWI291714B (en) * 2005-12-09 2007-12-21 Ind Tech Res Inst Supercritical fluid washing method and system thereof
US8530355B2 (en) * 2005-12-23 2013-09-10 Infineon Technologies Ag Mixed orientation semiconductor device and method
CA2996768C (en) 2006-04-26 2020-12-08 Micell Technologies, Inc. Coatings containing multiple drugs
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
WO2008042909A2 (en) 2006-10-02 2008-04-10 Micell Technologies Inc. Surgical sutures having increased strength
CA2667228C (en) 2006-10-23 2015-07-14 Micell Technologies, Inc. Holder for electrically charging a substrate during coating
CA2679712C (en) 2007-01-08 2016-11-15 Micell Technologies, Inc. Stents having biodegradable layers
US11426494B2 (en) 2007-01-08 2022-08-30 MT Acquisition Holdings LLC Stents having biodegradable layers
AU2008256684B2 (en) * 2007-05-25 2012-06-14 Micell Technologies, Inc. Polymer films for medical device coating
EP2271294B1 (en) 2008-04-17 2018-03-28 Micell Technologies, Inc. Stents having bioabsorbable layers
JP2011528275A (ja) 2008-07-17 2011-11-17 ミセル テクノロジーズ,インク. 薬物送達医療デバイス
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US8834913B2 (en) 2008-12-26 2014-09-16 Battelle Memorial Institute Medical implants and methods of making medical implants
US9620410B1 (en) * 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US20100239635A1 (en) * 2009-03-23 2010-09-23 Micell Technologies, Inc. Drug delivery medical device
WO2010111238A2 (en) * 2009-03-23 2010-09-30 Micell Technologies, Inc. Improved biodegradable polymers
CN102481195B (zh) 2009-04-01 2015-03-25 米歇尔技术公司 涂覆支架
WO2010121187A2 (en) 2009-04-17 2010-10-21 Micell Techologies, Inc. Stents having controlled elution
EP2453834A4 (en) 2009-07-16 2014-04-16 Micell Technologies Inc MEDICAL DEVICE DISPENSING MEDICINE
JP5373498B2 (ja) * 2009-07-27 2013-12-18 芝浦メカトロニクス株式会社 基板の処理装置及び処理方法
US20110117752A1 (en) * 2009-11-18 2011-05-19 Kwon Taek Lim Method and system for etching a silicon dioxide film using densified carbon dioxide
US11369498B2 (en) 2010-02-02 2022-06-28 MT Acquisition Holdings LLC Stent and stent delivery system with improved deliverability
US8795762B2 (en) 2010-03-26 2014-08-05 Battelle Memorial Institute System and method for enhanced electrostatic deposition and surface coatings
WO2011133655A1 (en) 2010-04-22 2011-10-27 Micell Technologies, Inc. Stents and other devices having extracellular matrix coating
WO2011162770A1 (en) 2010-06-25 2011-12-29 Tousimis Anastasios J Integrated processing and critical point drying systems for semiconductor and mems devices
CA2805631C (en) 2010-07-16 2018-07-31 Micell Technologies, Inc. Drug delivery medical device
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6048400B2 (ja) * 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
WO2012166819A1 (en) 2011-05-31 2012-12-06 Micell Technologies, Inc. System and process for formation of a time-released, drug-eluting transferable coating
CA2841360A1 (en) 2011-07-15 2013-01-24 Micell Technologies, Inc. Drug delivery medical device
US10188772B2 (en) 2011-10-18 2019-01-29 Micell Technologies, Inc. Drug delivery medical device
JP6326041B2 (ja) * 2012-04-17 2018-05-16 プラクスエア・テクノロジー・インコーポレイテッド 二酸化炭素の精製された多相のプロセスツールへのデリバリーシステム
US9604153B1 (en) * 2012-07-31 2017-03-28 Quinlan Properties, LLC Separation systems and methods of using them
KR20150143476A (ko) 2013-03-12 2015-12-23 미셀 테크놀로지즈, 인코포레이티드 생흡수성 생체의학적 임플란트
KR102079613B1 (ko) 2013-05-15 2020-02-20 미셀 테크놀로지즈, 인코포레이티드 생흡수성 생체의학적 임플란트
US10283344B2 (en) * 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US11390539B2 (en) 2015-12-23 2022-07-19 Novolabs Limited Liquid treatment method and apparatus
KR102521416B1 (ko) * 2017-05-24 2023-04-14 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR102134261B1 (ko) * 2018-10-25 2020-07-16 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7394563B2 (ja) * 2019-09-12 2023-12-08 東京エレクトロン株式会社 基板処理装置の洗浄方法及び基板処理システム
KR102378329B1 (ko) * 2019-10-07 2022-03-25 세메스 주식회사 기판 처리 장치 및 방법
EP4076725A4 (en) * 2019-12-17 2024-04-17 Covalon Tech Ltd REACTORS FOR COATING DEVICES AND ASSOCIATED SYSTEMS AND PROCESSES
US11640115B2 (en) * 2020-09-04 2023-05-02 Samsung Electronics Co., Ltd. Substrate processing apparatus, semiconductor manufacturing equipment, and substrate processing method

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4980264A (en) 1985-12-17 1990-12-25 International Business Machines Corporation Photoresist compositions of controlled dissolution rate in alkaline developers
US5071730A (en) 1990-04-24 1991-12-10 International Business Machines Corporation Liquid apply, aqueous processable photoresist compositions
JPH0626909A (ja) * 1992-07-10 1994-02-04 Japan Tobacco Inc 圧力容器における接触界面位置調節方法および装置
US5370742A (en) * 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JPH06324494A (ja) 1993-05-12 1994-11-25 Fujitsu Ltd パターン形成材料およびパターン形成方法
US5866304A (en) 1993-12-28 1999-02-02 Nec Corporation Photosensitive resin and method for patterning by use of the same
EP0726099B1 (en) * 1995-01-26 2000-10-18 Texas Instruments Incorporated Method of removing surface contamination
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6200352B1 (en) 1997-08-27 2001-03-13 Micell Technologies, Inc. Dry cleaning methods and compositions
WO1999010587A1 (en) 1997-08-29 1999-03-04 Micell Technologies End functionalized polysiloxane surfactants in carbon dioxide formulations
US6001418A (en) 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6120613A (en) 1998-04-30 2000-09-19 Micell Technologies, Inc. Carbon dioxide cleaning and separation systems
US6200943B1 (en) 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP4292561B2 (ja) * 1998-11-20 2009-07-08 日本ポリウレタン工業株式会社 多官能ポリイソシアネート組成物の製造方法
BR0014797A (pt) * 1999-10-21 2002-06-11 Aspen Systems Inc Método rápido aperfeiçoado para a preparação de um produto aerogel
KR20030075185A (ko) * 2001-02-15 2003-09-22 미셀 테크놀로지즈, 인코포레이티드 마이크로 전자 소자를 세정하는 방법
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
JP2002367943A (ja) * 2001-06-12 2002-12-20 Kobe Steel Ltd 高圧処理方法および高圧処理装置
AU2003235748A1 (en) * 2002-01-07 2003-07-24 Praxair Technology, Inc. Method for cleaning an article

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100872873B1 (ko) * 2007-07-03 2008-12-10 세메스 주식회사 기판 제조용 초임계 유체 공급 장치

Also Published As

Publication number Publication date
US20040020518A1 (en) 2004-02-05
WO2004070776A3 (en) 2005-01-20
EP1590307A4 (en) 2010-09-22
WO2004070776A2 (en) 2004-08-19
AU2003299658A8 (en) 2004-08-30
JP2006513582A (ja) 2006-04-20
EP1590307A2 (en) 2005-11-02
US6905555B2 (en) 2005-06-14
AU2003299658A1 (en) 2004-08-30

Similar Documents

Publication Publication Date Title
KR20050097514A (ko) 마이크로일렉트로닉 및 다른 산업 공정에서 초임계 유체를이동하는 방법
US6596093B2 (en) Methods for cleaning microelectronic structures with cyclical phase modulation
US6613157B2 (en) Methods for removing particles from microelectronic structures
US6602351B2 (en) Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6641678B2 (en) Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6562146B1 (en) Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
Goldfarb et al. Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse
US7044143B2 (en) Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
KR100400194B1 (ko) 임계 초과 건조 방법 및 임계 초과 건조 장치
KR20030075185A (ko) 마이크로 전자 소자를 세정하는 방법
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
US20050191861A1 (en) Using supercritical fluids and/or dense fluids in semiconductor applications
JP2003168672A (ja) 高圧処理方法
JP2002237481A (ja) 微細構造体の洗浄方法
KR20080026129A (ko) 반도체 웨이퍼로부터의 물질 제거 방법 및 이를 수행하기위한 장치
JP3920738B2 (ja) 微細構造体の乾燥方法
Keagy et al. Cleaning of patterned porous low-k dielectrics with water, carbon dioxide and ambidextrous surfactants
Zhang et al. Water-in-carbon dioxide microemulsions for removing post-etch residues from patterned porous low-k dielectrics
JP2004140321A (ja) 保護膜積層微細構造体および該構造体を用いた微細構造体の乾燥方法
US20210166939A1 (en) Substrate treating apparatus and substrate treating method
JP2004186530A (ja) 洗浄装置及び洗浄方法
US7044662B2 (en) Developing photoresist with supercritical fluid and developer
CN1741973A (zh) 转移微电子和其它工业生产过程中的超临界流体的方法
US6786977B2 (en) Gas-expanded liquids, methods of use thereof, and systems using gas-expanded liquids for cleaning integrated circuits
US20030190818A1 (en) Enhanced processing of performance films using high-diffusivity penetrants

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid