JP2006513582A - マイクロエレクトロニクス及び他の工業プロセスにおける超臨界流体の移送方法 - Google Patents

マイクロエレクトロニクス及び他の工業プロセスにおける超臨界流体の移送方法 Download PDF

Info

Publication number
JP2006513582A
JP2006513582A JP2004568030A JP2004568030A JP2006513582A JP 2006513582 A JP2006513582 A JP 2006513582A JP 2004568030 A JP2004568030 A JP 2004568030A JP 2004568030 A JP2004568030 A JP 2004568030A JP 2006513582 A JP2006513582 A JP 2006513582A
Authority
JP
Japan
Prior art keywords
fluid
supercritical
liquid
supercritical fluid
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004568030A
Other languages
English (en)
Inventor
マクレイン,ジェイムズ・ビー
デヤング,ジェイムズ・ピー
グロス,スティーヴン・エム
ワグナー,マーク・アイ
Original Assignee
マイセル・テクノロジーズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイセル・テクノロジーズ,インコーポレイテッド filed Critical マイセル・テクノロジーズ,インコーポレイテッド
Publication of JP2006513582A publication Critical patent/JP2006513582A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2401/00Form of the coating product, e.g. solution, water dispersion, powders or the like
    • B05D2401/90Form of the coating product, e.g. solution, water dispersion, powders or the like at least one component of the composition being in supercritical state or close to supercritical state
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

圧力容器から超臨界流体を(例えば、マイクロエレクトロニクス製造プロセスにおいて)移動させる方法であって、第1の超臨界流体(前記の超臨界流体であって、好ましくは二酸化炭素を含む)を収容した密閉圧力容器を準備するステップと、第1の超臨界流体の密度より低い密度を有する第2の流体(典型的には同様に超臨界流体)を、第1の超臨界流体の圧力より大きな圧力で、容器へ添加するステップと、第1の超臨界流体と第2の流体との界面を形成するステップと、この界面を維持しながら第2の好ましくは流体の圧力を用いて第1の超臨界流体の少なくとも一部を容器から移動させるステップとを含む方法。

Description

[関連出願]
本出願は、2003年5月30日に出願された米国特許出願第10/448,474号の利益を主張するものであり、本出願は、2003年1月27日に出願された米国特許仮出願第60/442,867号の利益をさらに主張するものであり、それら両出願の開示は本明細書の一部をなすものとする。
本発明は、製造プロセス中に流体を移送するための方法および装置に関し、そのような工程は、水および水性溶質を除去するステップ、ならびに半導体基板、MEMs、または液体もしくは超臨界二酸化炭素を備えるオプトエレクトロニクスデバイスなどの基板から汚染物質または工程副産物を除去するステップを含むがそれらに限定されない。さらに、これらのプロセスには、液体もしくは超臨界二酸化炭素を用いて金属もしくは半金属前駆体、ポリマー、モノマー、または小分子を半導体基板、MEMs、もしくはオプトエレクトロニクスデバイスへ適用するステップが含まれるがそれらに限定されない。
集積回路、マイクロエレクトロニクスデバイス及びマイクロエレクトロメカニカルデバイス(MEMs)の製造は多数の処理ステップを含み、それらの多くが化学成分の担体、または工程副産物の除去を促進する媒体のいずれかとして水を組み込んでいる。材料および工程の進化は、より小さな形状およびより複雑なマイクロデバイスを目指す意欲によって推進されてきた。だが時として、これらの進化途上の工程における水の使用は、そのために水および水によって運ばれる副産物の有害な作用が所見されるという課題を生み出してきた。液体または超臨界状態にある高密度二酸化炭素の独特の物理的性質は、これらの一定の短所を防止するために特に重要である。
高密度CO2が実際に適用されるそのような工程の1つは、表面張力または毛管力によって誘発される画像崩壊の防止に関する。これは特に、フォトレジストを使用するマイクロリソグラフィ画像の水性現像中には特に重要である。フォトレジストは、画像を基板へ移すために使用される感光性フィルムである。フォトレジストのコーティング層が基板上に形成され、フォトレジスト層は次にフォトマスクを通して、またはその他の技術によって、活性化放射線源に露光させられる。活性化放射線への露光は、それによってフォトマスク(またはその他のパターン・ジェネレータ)のパターンをフォトレジスト被覆基板へ移すために、フォトレジストの光誘起性化学変換を生じさせる。露光後にフォトレジストが現像されると、レリーフ画像が提供され、基板の選択的処理が可能になる。例えば、米国特許第6,042,997号を参照されたい。
フォトレジストは、ポジ型またはネガ型のいずれであってもよい。ネガ型レジストについては、露光領域の溶解度が低下するので、現像中の非露光領域が除去される間にウエハ上に露光領域が残される。ポジ型レジストについては、現像溶液中で露光領域の溶解度が増加するので、現像ステップ中に露光領域が除去されて非露光領域が影響されずに残される。ポジ型およびネガ型レジスト材料には、典型的には所与の波長のUV光線に露光されると変換される化学官能性が組み込まれている。この変換は、ポリマー極性の増加または減少が多くの場合に現像溶液中のポリマーの溶解度の変化に対する推進力であるため、しばしば「極性スイッチ」と呼ばれる。この変換は、レジスト組成物中へ光酸発生剤(PAG)または光塩基発生剤(PGB)を組み込むことによって促進される。酸および塩基成分は、典型的には適切な放射線源への露光およびその後に加熱されることによって発生する。現像液は典型的には水性であり、さらに典型的にはその後の処理の前に基板から乾燥させられる。
描出されたレジストパターンの湿式乾燥中に存在する毛管力は、レジストの変形およびパターン崩壊を生じさせる可能性がある。この問題は、リソグラフィ技術がより大きなアスペクト比を備えるより小さな画像ノードに向かって進むにつれて特に深刻になる。研究者らは、水性乾燥に関連する崩壊問題は130nmの技術ノードに影響を及ぼし、そしてアスペクト比が上昇するにつれて将来の技術においてより拡大するであろうと示唆している。
IBMおよびNTT双方の研究者らは、超臨界レジスト乾燥(SRD)において二酸化炭素を使用すると画像崩壊およびフィルム損傷が減少すると提案した。例えば、H.Namatsu,J.Vac.Sci.Technol.B 18(6),3308−3312(2000)、D.Goldfarb et al.,J.Vac.Sci.Technol B.18(6)3313−3317(2000)を参照されたい。しかし、表面張力の欠如ならびに利用可能なCO2の臨界的温度および圧力はこの乾燥アプローチにとってプラスの要素であるともてはやされてきたが、超臨界相中での水の相当に低い溶解度は流体の輸送能力を増加させるために化学的添加剤の使用を必要とする可能性がある課題であるとも報告されてきた。IBMおよびNTTの研究者らは、超臨界流体援用乾燥における一定の界面活性剤の使用を例示した。しかし、界面活性剤は「間接的SRD」ではヘキサン予備洗浄に組み込まれると記載されている(例えば、Goldfarb et al.(上記)を参照)、または「直接的SRD」では特定の界面活性剤しか二酸化炭素中に組み込まれなかった。直接的および間接的乾燥法のどちらにおいても、界面活性剤およびコソルベントの選択は、レジスト損傷を導く適合性問題として報告されている問題によって制限される。したがって、SRDのための新規アプローチには依然として二酸化炭素を使用する必要がある。
マイクロエレクトロニクス基板(例えば、フォトレジスト被覆半導体ウエハ、MEMs、オプトエレクトロニクスデバイス、フォトニックデバイス、フラットパネル・ディスプレイなど)上の表面を乾燥させることに関連するもう1つの問題は、一般に乾燥時ウォーターマークと呼ばれる残留物を残さずに水性の処理液、洗浄液またはリンス液を完全に除去することである。これらのウォーターマークは、前記流体が乾燥させられるときの水性処理用、洗浄用、または乾燥用流体中の溶質の濃縮の結果として生じる。多くのマイクロエレクトロニクス、オプティクス、マイクロオプティクス、またはMEMs構造では、このウォーターマークはデバイスの製造収率または最終的性能に有害な影響を及ぼす可能性がある。そこで混入した溶質の濃縮および最終的沈着を排除する−ウォーターマークを排除する、表面から水をベースとする流体を除去する(洗浄する)ための効果的な方法に対する必要がある。
そのような課題の1つはMEMsデバイスの製造において出現する。湿式処理ステップは、一般にリンスおよび乾燥ステップで完了する。蒸発乾燥は低レベルの溶質を含む水が表面上や様々な超小型機構内でたまり、水たまりの表面積が最大化する場所で濃縮することを引き起こす。その結果として、これらの乾燥ステップは可動部の極めて近位で、あるいは可動部上でいったん溶解した溶質の濃縮を引き起こす可能性がある。本質的に有機または無機の可能性がある沈着した物質は、それが作動できなくなるような可動部のロッキングであるスティクションの原因となる。製造ステップ中に言われる「リリース・スティクション(release stiction)」は、結果として接着力およびファンデルワールス力および摩擦に起因すると考えられている。この現象によって発生する力は、MEMsデバイス上の可動部を完全に機能不良にする可能性がある。
スティクションに対抗するために、MEMsデバイスの製造業者はリンスステップ中の表面張力を低下させ、より均等な乾燥工程を促進する短鎖アルコールなどの溶媒を使用している。しかし、これらのステップだけではスティクションの発生を排除しなかった。そこで表面張力が損傷を引き起こす場合がある超小型構造の乾燥のために超臨界CO2が提案されてきた(例えば、Gregory T.Mulhern,「Supercritical Carbon Dioxide Drying of Micro Structures」を参照)。特にTexas Instruments社の研究者ら(例えば、米国特許第6,024,801号を参照)は、パシフィケーションステップ前に超臨界CO2を使用するとMEMsデバイスから有機および無機汚染物質を洗浄でき、したがってスティクションを制限できることを証明している。
だが超臨界CO2を利用するこれらの技術は、特定部位での水および溶質の濃縮を回避できるように水および溶質が同時に除去される場所では乾燥と洗浄を組み合わせることによってスティクションを制限しない。そこで、乾燥、洗浄、および表面パシフィケーションの統合処理工程を通してリリース・スティクションを防止できる技術が必要とされる。
水性湿式処理ステップに関連する乾燥および洗浄にとっての課題のまた別の例は、集積回路の製造における層間金属化のための深いバイアスの形成に現れる。当業者に知られている方法によって形成されるこれらのバイアスは、典型的にはそれらから残留物を洗浄するのが困難になることがある幾何学的形状を作り出す大きな臨界的アスペクト比を有する。さらに、水などの従来型流体を用いた湿式処理ステップおよびリンスは、蒸発乾燥後にはいったん溶解した溶質が背部に残存する。バイアスの底部に沈着したこれらの溶質は金属化すると伝導を阻害し、機能的収率を低下させる可能性がある。
そこで湿式処理ステップ後にバイアスから水を除去し(乾燥)、溶解した溶質を除去し(洗浄)、したがって収率低下を減少させる技術が必要とされる。
さらに、集積回路を製造する際に使用される多孔性誘電体の出現は、洗浄媒体が構造または材料を損傷させることなくデバイスを損傷させる汚染物質および工程副産物を除去するためにますます超小型になるサイズの機構を理想的に湿潤させられることを必要とするであろう。高密度流体CO2が望ましいのは、表面張力が低い、またはゼロであり、そして極めて低粘性であるためである。しかし、コソルベント、界面活性剤、酸化剤、エッチング剤、および安定剤などの化学的添加剤は、それらが洗浄の必要のために機能した後には効率的に除去されなければならない。これは優秀な輸送特性ならびに温度および圧力の関数としての貴重な溶媒特性を備える流体にとっては極めて厄介な問題にちがいない。この溶解力の変動は、効果的にリンスしなければ、多孔性基板内および上への望ましくない物質の沈着を生じさせる可能性がある。そこで、汚染物質や工程副産物だけではなく化学試薬の効率的かつ効果的除去をも可能にする工程が必要とされる。
本発明は、超臨界流体を圧力容器から移動させる方法であって、
第1の超臨界流体(この超臨界流体は好ましくは二酸化炭素を含む)を収容した密閉圧力容器を準備するステップと、
第1の超臨界流体の密度より低い密度を有する第2の流体(典型的には同様に超臨界流体)を、第1の超臨界流体の圧力より大きな圧力で、前記容器へ添加するステップと、
第1の超臨界流体と第2の流体との界面を形成するステップと、
前記界面を維持しながら第2の好ましくは流体の圧力を用いて第1の超臨界流体の少なくとも一部を容器から移動させるステップとを含む方法を提供する。
本発明の1つの態様は、マイクロエレクトロニクスデバイスを洗浄する方法であって、洗浄されるべき表面部分を有する基板を提供するステップと、高密度二酸化炭素洗浄用組成物を提供するステップであって、該組成物が二酸化炭素および洗浄用添加剤を含み、該洗浄用添加剤がコソルベント、界面活性剤、エッチング剤、酸化剤、キレート剤、安定剤およびそれらの組み合わせからなる群から選択されるステップと、該表面部分を高密度二酸化炭素組成物中に浸漬するステップと、および次に前記洗浄用組成物を該表面部分から除去するステップと、を含み、このとき前記浸漬するステップおよび前記除去するステップの少なくとも一方の間には該洗浄用組成物を均質組成物として維持する方法である。本発明によって洗浄できるデバイスの例には、誘電体を含有する半導体基板、金属(銅)を含有する半導体基板、集積回路、マイクロエレクトロメカニカルデバイス(MEMs)、オプトエレクトロニクスデバイス、およびレジスト被覆基板が含まれるが、それらに限定されない。
特定実施形態では、本発明は、マイクロエレクトロニクスデバイス(半導体基板など)、MEMsデバイス、またはオプトエレクトロニクスデバイスから水が混入した溶質、エッチング工程副産物、金属残留物、またはフォトレジストを除去するステップなどの、水を除去する、または基板を洗浄する方法を提供する。一般に、本方法は、(a)基板を提供するステップであって、一部の実施形態では基板はその上に形成されたパターンもしくは機構を有し(基板は、例えば、金属、半導体、絶縁体または誘電体、フォトレジスト、および例えば集積回路の製造中のシリコンウエハなどのそれらの組み合わせから構成される)、さらに基板がそれから洗浄されるべき水もしくはその他の物質(例、エッチング残留物、エッチング硬化フォトレジスト、およびCMP残留物)などの工程副産物を有するステップと、(b)高密度(例、液体もしくは超臨界)二酸化炭素乾燥または洗浄用組成物を提供するステップであって、該組成物が二酸化炭素、および任意で、しかし好ましくは添加剤を含み、該添加剤がコソルベント、界面活性剤、およびそれらの組み合わせからなる群から選択されるステップと、(c)該表面部分を該高密度二酸化炭素洗浄/乾燥用組成物中に浸漬するステップと、および次に(d)乾燥または洗浄用組成物を該表面部分から除去するステップとを含む。
以下では、本発明の様々な特定実施形態について詳細に考察する。
周期的相転移。本発明のまた別の態様は、可溶性物質、粒子状物質、および/または汚染物質などを除去するために、マイクロエレクトロニクスデバイスを洗浄する方法である。本方法は、洗浄されるべき表面部分を有する基板を提供するステップと、高密度二酸化炭素洗浄用組成物を提供するステップであって、該組成物が二酸化炭素、および任意で、しかし好ましくは洗浄用添加剤を含み、該洗浄用添加剤がコソルベント、界面活性剤、およびそれらの組み合わせからなる群から選択されるステップと、該表面部分を該密度二酸化炭素組成物中に浸漬してそれにより該表面部分を洗浄するステップと、および次に前記洗浄用組成物を該表面部分から除去するステップとを含む。上述した浸漬/洗浄するステップは、以下でより詳細に説明するように、その状態の一部または全部の間に好ましくは周期的相転移を伴って実施される。
水性洗浄システム。本発明のまた別の態様は、マイクロエレクトロニクスデバイスを洗浄する方法であって、洗浄されるべき表面部分を有する基板を提供するステップと、高密度二酸化炭素洗浄用組成物を提供するステップであって、該組成物が二酸化炭素および水を含むステップとを含む方法である。任意で、しかし好ましくは、1つ以上の洗浄用添加剤が、洗浄される物品の洗浄を促進するために十分な量で洗浄用組成物中に含まれている。適切な洗浄用添加剤は、例えばコソルベント、界面活性剤、水溶性洗浄用添加剤、およびそれらの組み合わせを含む。本方法の次のステップは、高密度二酸化炭素洗浄用組成物中に該表面部分を浸漬し、それによって該物品を洗浄し、そして次に前記洗浄用組成物を該表面部分から除去するステップを含む。
粒子状物質の洗浄。本発明のまた別の態様は、固形粒子状物質をマイクロエレクトロニクスデバイスから洗浄する/除去する方法であって、該方法は、洗浄される表面部分を有する基板を提供するステップと、高密度二酸化炭素洗浄用組成物を提供するステップであって、該組成物が二酸化炭素、および任意で、しかし好ましくは洗浄用添加剤を含み、該洗浄用添加剤がコソルベント、界面活性剤、およびそれらの組み合わせからなる群から選択されるステップと、該表面部分をそれから固形粒子状物質汚染物質を除去するために十分な時間にわたり該高密度二酸化炭素組成物中に浸漬するステップと、および次に前記洗浄用組成物を該表面部分から除去するステップとを含む方法である。基板の粒子状物質汚染は、例えば基板の化学的機械的平坦化に続いて見いだされることがある。
汚染物質再沈着の制御。1つの好ましい実施形態では、工程パラメータは、レジストコーティング、パターニングされた機構、またはデバイスもしくは回路の機械的、電気的、もしくは光学コンポーネント上での乾燥用添加剤もしくは水分を含む溶質の実質的沈着を生じさせずに、好ましくは、乾燥および/または洗浄用組成物が浸漬するステップ、除去するステップ、または浸漬するステップおよび除去するステップの両方の間に均質な組成物として維持されるように制御される。
添付の図面および以下の説明において本発明をより詳細に記載する。
以下、本発明についてより詳細に説明する。この説明は、本発明を実行できる様々な方法の全て、あるいは本発明に付け加えることのできる特徴の全てを詳細に列挙することを意図するものではない。例えば、1つの実施形態に関して例示した特徴は他の実施形態の中に組み込むことができ、そして特定の実施形態に関して例示した特徴はその実施形態から削除することもできる。さらに、本明細書で提案した様々な実施形態に対する多数の変形および追加は、当業者には本発明から逸脱しない本開示に照らせば明白であろう。したがって、以下の説明は、本発明の具体的な実施形態を例示することを意図するものであり、その全ての順列、組み合わせおよび変形を精緻に規定することを意図するものではない。
本明細書に記載した界面は、第1の流体と第2の流体との間の屈折率の変化または差異に起因して、一般に視覚的に検出可能である(すなわち、ヒトの裸眼によって認識できる)または光学的に検出可能である(すなわち、光学検出システムによって検出可能である)。界面は絶対的な遷移である必要はなく、1つの流体から他の流体への遷移が発生している深さまたは厚さを有する領域であってよい。例えば、第1の超臨界流体の移動方向に長さ寸法(すなわち、本プロセスにおける界面の移動距離だけではなく、容器の全長または全内部寸法)を有する容器では、界面の厚さまたは深さは容器の長さ寸法の約5、10、15または20%であってよい。この厚さは、容器の内容物の組成が第1の超臨界流体からなるか又は実質的になる(例えば、少なくとも90、95、もしくは99重量%の第1の超臨界流体を含む領域)容器の長さ寸法に沿った第1のポイントまたは位置から、第1および第2の流体の混合組成物のゾーンを通り、容器の内容物の組成が第2の超臨界流体からなるか又は実質的になる(例えば、少なくとも90、95、もしくは99重量%の第2の超臨界流体を含む領域)容器の長さ寸法に沿った第2のポイントまたは位置までの間の距離として測定できる。よって、容器の容積および工程の目的に依存して相当に小さい、または相当に大きい場合があるが、本プロセスが実施される容器のサイズに依存して、界面は0.01、0.1もしくは1mmから10、20mmもしくはそれ以上までの厚さであってもよいし、1、5もしくは10mmから100、200、300mmもしくはそれ以上までの厚さであってもよいし、10、20もしくは30mmから300、500、1,000mmもしくはそれ以上までの厚さであってもよい。界面は本発明の移動ステップ中に「維持」されるが、厚さは典型的には上記の範囲内で移動プロセス中に変動する可能性があることは理解されるであろう。また、界面は移動ステップについて本明細書に記載した特定の発明が実施されるより大きな工程の一部分中でのみ「維持」される可能性があることも理解されるであろう。
本発明は、洗浄工程、コーティング工程、重合工程などの反応工程、リソグラフィ工程、抽出工程などを含むがそれらに限定されない多種多様な製造プロセスにおいて、超臨界流体を移送または移動させるために利用できる。
本発明によって洗浄できるデバイスの例には、誘電体を具備する半導体基板、金属(銅)を具備する半導体基板、集積回路、マイクロエレクトロメカニカルデバイス(MEMs)、オプトエレクトロニクスデバイス、およびレジスト被覆基板が含まれるが、それらに限定されない。レジストは、典型的にはポリマー物質であり、ポジ型レジストまたはネガ型レジストであってよい。レジストは、乾燥工程が実施される時点でパターニングされていてもパターニングされていなくてもよいし、現像されていても現像されていなくてもよい。
本発明を実施するためには、米国特許第6,042,997号、第5,866,304号、第5,492,793号、第5,443,690号、第5,071,730号、第4,980,264号、および第4,491,628号明細書に記載されているものを含むがそれらに限定されないあらゆる適切なレジスト組成物を使用できる。本出願人らは、本明細書で言及した全ての米国特許明細書の開示が本明細書の一部をなすものとなることを特に意図している。
レジスト組成物は、例えばスピニング法、ディッピング法、ローラコーティング法またはその他の従来型のコーティング法による一般に知られている方法にしたがって液体組成物として基板に適用できる。スピンコーティング法の場合は、コーティング液の固形含量は使用される具体的なスピニング装置、溶液の粘度、スピナーの速度およびスピニングに許容される時間量に依存して所望の膜厚を提供するように調整できる。
レジスト組成物は、フォトレジストでコーティングを行うことを含むプロセスにおいて従来使用される基板に、適切に塗布される。例えば、この組成物は、マイクロプロセッサおよびその他の集積回路コンポーネントを製造するために、シリコンウエハ(その上に例えば二酸化シリコン、窒化シリコン、ポリシロキサン(polysiloxand)および/または金属などの1つ以上の層を含むことがある)上に塗布できる。アルミニウム−酸化アルミニウム基板、ヒ化ガリウム基板、セラミック基板、石英基板または銅基板もまた使用できる。例えば、ガラス基板、インジウムスズ酸化物被覆基板などの液晶ディスプレイおよびその他のフラットパネルディスプレイ用途に使用される基板もまた適切に使用される。
表面へのフォトレジストのコーティング後、好ましくはフォトレジストコーティングが指触乾燥するまで溶媒を除去するために、基板を加熱によって乾燥する。あるいは、本明細書に記載の手順によって乾燥することもできる。その後、従来型方法で描出する。露光は、レジストコーティング層にパターニングされた画像を作成するためにフォトレジスト系の光活性成分を効果的に活性化させるのに十分である。
露光後、組成物の膜層をベークしてもよい。その後、膜レジスト層を適切な現像液(その選択は一部にはレジスト材料の特定の選択に依存するであろう)へ接触させることによって膜を現像する。例えば、現像液は極性現像液でよく、例えば水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、重炭酸ナトリウム、ケイ酸ナトリウム、メタケイ酸ナトリウムによって例示される無機アルカリなどの水性現像液や、テトラアルキル水酸化アンモニウム溶液などの第4級水酸化アンモニウム溶液や、エチルアミン、n−プロピルアミン、ジエチルアミン、ジ−n−プロピルアミン、トリエチルアミン、もしくはメチルジエチルアミンなどの様々なアミン溶液や、ジエタノールアミンもしくはトリエタノールアミンなどのアルコールアミンや、ピロール、ピリジンなどの環状アミンがある。一般に、現像は当分野において認識された手順にしたがって行われる。現像後、レジストを任意で(例えば水性リンス液を用いて)リンスし、そして好ましくは本明細書に記載の乾燥手順によって乾燥する。
基板上にコーティングしたフォトレジストを現像後、この現像された基板に対して、例えば当分野において知られている手順に従ってレジストのない領域を化学的エッチングするか又は化学堆積法を行うことによって、レジストのない領域について選択的に処理することができる。例えば二酸化シリコンウエハの製造のようなマイクロエレクトロニクス基板を製造するために、適切なエッチング剤としてガスエッチング剤があり、例えば、知られている方法に従ってプラズマ流として適用されるCF4もしくはCF4/CHF3エッチング剤などの塩素もしくはフッ素を基剤とするエッチング剤がある。
以下ではさらにコーティング組成物について考察する。本発明を実施するために使用される二酸化炭素洗浄/乾燥用または洗浄用組成物は典型的には以下を含む。
(a)残部の、典型的には少なくとも20、30、40、50もしくは60%の二酸化炭素と、
(b)0、0.01、0.1、0.5、1もしくは2%から5、10%もしくはそれ以上までの界面活性剤と、
(c)0、0.01、0.1、1もしくは2から30、40、50%もしくはそれ以上までの有機コソルベント(補助溶媒)と、
(d)任意で、しかし一部の実施形態では好ましくは0、0.01、もしくは0.1から2、5もしくは10%の水と、
(e)水が含まれる場合は、基板の洗浄を促進するために十分な量で送達されるべき水溶性化合物/洗浄用添加剤。
好ましくは、洗浄/乾燥用組成物中には少なくとも1種の界面活性剤および/またはコソルベントが(例えば、少なくとも0.01%)含まれ、さらに任意で組成物中には界面活性剤およびコソルベントの両方が含まれてもよい。水は、特定の洗浄用途および基板の性質に依存して、組成物中に含まれても含まれなくてもよい。本明細書のパーセンテージは、他に特に明記していない限り、重量%を示すものである。
洗浄/乾燥用組成物は、低温液体を含む液体または超臨界流体として提供されてよい。液体および超臨界二酸化炭素は、本明細書では確立された使用法に従って「高密度」二酸化炭素と呼ぶ。
有機コソルベントは、1種の化合物または2種以上の成分の混合物であってよい。有機コソルベントは、アルコール(ジオール、トリオールなどを含む)、エーテル、アミン、ケトン、炭酸塩、もしくはアルカン、または(脂肪族もしくは芳香族)炭化水素であってよいし、あるいはそれらを含んでいてよい。有機コソルベントは、上述したアルカンの混合物、または1種以上のアルカンと上述した1種以上のアルコール(例えば、0もしくは0.1から5%のClからC15アルコール(ジオール、トリオールなどを含む)などの追加の化合物とを組み合わせた混合物などの化合物の混合物であってよい。本発明を実施するためには、あらゆる界面活性剤を使用でき、このような界面活性剤としては、疎CO2性基(例、親油基)に結合した親CO2性基(国際特許公開第96/27704号に記載されている)を有する界面活性剤や、親CO2性基を有していない界面活性剤(すなわち、疎水性(典型的には親油性)基に結合した親水基を含む界面活性剤)がある。単一の界面活性剤が使用されても、または界面活性剤の組み合わせが使用されてもよい。当業者には多数の界面活性剤が知られている。例えば、McCutcheon’s Volume 1: Emulsifiers & Detergents(1995 North American Edition )(MC Publishing Co.,175 Rock Road,Glen Rock,N.J.07452)を参照されたい。本発明を実施するために使用できる主要な界面活性剤タイプの例には、アルコール、アルカノールアミド、アルカノールアミン、アルキルアリールスルホネート、アルキルアリールスルホン酸、アルキルベンゼン、アミンアセテート、アミンオキシド、アミン、スルホン化アミンおよびアミド、ベタイン誘導体、ブロックポリマー、カルボキシル化アルコールもしくはエトキシル化アルキルフェノール、カルボン酸および脂肪酸、ジフェニルスルホネート誘導体、エトキシル化アルコール、エトキシル化アルキルフェノール、エトキシル化アミンおよび/またはアミド、エトキシル化脂肪酸、エトキシル化脂肪エステルおよび脂肪油、脂肪エステル、フルオロカーボンを基剤とする界面活性剤、グリセロールエステル、グリコールエステル、複素環式(hetocyclic-type)生成物、イミダゾリンおよびイミダゾリン誘導体、イセチオン酸塩、ラノリンを基剤とする誘導体、レシチンおよびレシチン誘導体、リグニンおよびリグニン誘導体、無水マレイン酸もしくは無水コハク酸、メチルエステル、モノグリセリドおよび誘導体、オレフィンスルホネート、リン酸塩エステル、リン有機誘導体、ポリエチレングリコール、ポリマー(多糖類、アクリル酸およびアクリルアミド)界面活性剤、プロポキシル化およびエトキシル化脂肪酸アルコールもしくはアルキルフェノール、タンパク質を基剤とする界面活性剤、第4級界面活性剤、サルコシン誘導体、シリコンを基剤とする界面活性剤、石けん、ソルビタン誘導体、スクロースおよびグルコースエステルおよび誘導体、油および脂肪酸の硫酸塩およびスルホン酸塩、エトキシル化アルキルフェノールの硫酸塩およびスルホン酸塩、アルコールの硫酸塩、エトキシル化アルコールの硫酸塩、脂肪エステルの硫酸塩、ベンゼン、クメン、トルエンおよびキシレンのスルホン酸塩、縮合ナフタレンのスルホン酸塩、ドデシルおよびトリデシルベンゼンのスルホン酸塩、ナフタレンおよびアルキルナフタレンのスルホン酸塩、石油のスルホン酸塩、スルホスクシナメート、スルホコハク酸塩および誘導体、タウリン酸塩、チオおよびメルカプト誘導体、トリデシルおよびドデシルベンゼンスルホン酸などが含まれる。
図1は、本発明の方法によって乾燥する対象のレジスト被覆基板製品10を示している。本製品は、基板11を含み、この基板は上述したシリコンまたはその他の適切な物質を含んでいてよいし、その上に形成されたレジストコーティング12を有する1つ以上の層をそれ自体に含んでいてよい。乾燥によって除去されるべき水滴14、15は、表面の上およびレジストコーティング内に形成された溝の中にある。
図2は、本発明の方法を実施するための装置を概略的に示している。本装置は、液体または超臨界二酸化炭素を収容するのに適切な密閉型乾燥容器21を含み、その容器内で、コーティングされた基板10(または洗浄されるべき他のマイクロエレクトロニクスデバイス)が適切な支持体27上に配置されている。乾燥容器は、扉、かき混ぜ装置もしくはその他の攪拌手段、観察窓、その中の圧力を上昇または減少させるために乾燥容器に接続されたコンプレッサ、熱交換器、内容物の温度を上昇または減少させるために乾燥容器に接続された加熱器もしくは冷却器などを含んでいてよい。
二酸化炭素洗浄/乾燥用組成物の供給源22は、適切な配管によって乾燥容器へ接続されている。洗浄/乾燥用組成物の供給源22は、それ自体に1つ以上の貯蔵容器、ポンプ、バルブ、二酸化炭素内へ乾燥用添加剤を混入するための配管などを含んでいてよい。容器には、洗浄すべき物品10よりも上方にあるレベル28まで、洗浄/乾燥用組成物を充填することができる。
処理条件を制御するために使用される特定の技術または技術の組み合わせに依存して、本システムは乾燥容器21に接続された第2気体、第2材料、および/または追加の二酸化炭素の供給源24を含む。
所望であれば、現像液の供給源25を容器に接続して基板の現像および乾燥の両方を同一容器21内で実施することができる。
排出システム26が好ましくは容器21に接続されており、これは、容器内に収容されている組成物を排出させるためのものである。排出システムは、それ自体に適切なポンプ、バルブ、コンプレッサなど(それらのコンポーネントの一部は上述した供給要素と結び付いて多数の機能に役立つ可能性がある)を含むことができるし、二酸化炭素などの成分を蒸留し、任意にリサイクルするための蒸留器を含むことができるし、これらの様々な組成物もしくは構成成分を再使用するために供給要素にリサイクルするための適切な配管、バルブなどを含むことができる。例えば、使用済みの乾燥用組成物は、蒸留して、二酸化炭素を乾燥用組成物の一部として又は追加の二酸化炭素供給源へとリサイクルまたは再使用することができる。
上述したように、本発明の方法は、以下のステップを含むものである。
(a)汚染物質もしくは残留物をその上に有する基板を提供するステップと、
(b)高密度(例えば、液体もしくは超臨界)二酸化炭素乾燥組成物を提供するステップであって、この洗浄用組成物が二酸化炭素および任意で、しかし好ましくは洗浄用添加剤を含み、この洗浄用添加剤がコソルベント、界面活性剤、およびそれらの組み合わせからなる群から選択されるステップと、
(c)表面部分を高密度二酸化炭素洗浄組成物中に浸漬するステップと、
(d)洗浄用組成物を表面部分から除去するステップ。
洗浄用組成物が、浸漬ステップの間、除去ステップの間、または浸漬ステップと除去ステップの両方の間、レジストコーティング上に洗浄用添加剤もしくは汚染物質を実質的に沈着もしくは再沈着せずに、均質な組成物として維持されるように、工程パラメータを制御することができる。
好ましくは、上記提供ステップは、均質な溶液を生成するために二酸化炭素を1種以上の添加剤と混合するステップによって実施され、そして次の浸漬ステップは、洗浄用組成物を均質溶液として維持しながら実施される。このような混合ステップは、かき混ぜ、圧力下注入などのいずれか適切な手段によって乾燥用組成物供給源22内で実施できる。
上記除去ステップは、好ましくは組成物を均質溶液として維持しながら実施される。一般にこれは、乾燥容器から排出されるときに該組成物の沸騰を阻害することによって達成される。容器から液体CO2が排出されると、飽和蒸気圧と呼ばれるCO2蒸気との平衡状態に達する。飽和を維持するために、好ましくは容器の底部からベンティングまたはポンピングすることによって液体が容器から除去されるときに、液相は蒸気相の容積を増加させるために沸騰して蒸気を生成する。液体/気体、および液体/固体の界面での核沸騰であるこの沸騰は、コソルベントおよび界面活性剤、ならびに溶質汚染物質を含むCO2より低い蒸気圧の添加剤が界面で濃縮することを引き起こす。
液体/固体界面での沸騰により作り出される濃縮した添加剤、沈着した汚染物質および界面応力は、レジスト機構、MEMs、またはその他のパターニングされたマイクロデバイスを損傷させる可能性がある。描出かつ現像されたレジストの場合は、3を超えるアスペクト比を備える130mm未満の機構サイズは特に損傷しやすい。そのような損傷を防止するための工程制御は、以下のとおりである。
例えば、乾燥もしくは洗浄用組成物が液体組成物である場合は、除去するステップは、排出するステップ中に乾燥用組成物の沸騰を阻害するために十分な量によって、供給源24からの第2圧縮気体(例えば、ヘリウム、窒素、空気、それらの混合物)を用いて密閉型チャンバに加圧することによって実施できる。第2気体は、好ましくはCO2より高い飽和蒸気圧を有する乾燥用組成物中に実質的に非混和性である気体である。第2気体は、乾燥もしくは洗浄用組成物を容器から追い出すために使用できるし、または組成物の排出中に洗浄容器内に形成される気体−液体の界面において第2気体が過剰加圧を維持している間、乾燥/洗浄用組成物を容器からポンピングまたは排出できる。
あるいは、乾燥もしくは洗浄用組成物が液相にある場合は、排出するステップは第2チャンバもしくは貯蔵容器との液体−気体平衡化によって沸騰させずに実施することができる。このシナリオでは、チャンバ21は気体側ライン32(上)、および液体側ライン33によって貯蔵容器31へ接続されている。各ラインは、容器21および31を相互に分離または隔離するためのバルブ34、35を含む。排出ステップ中、貯蔵容器31は、洗浄/乾燥容器21内の飽和蒸気圧と同等または過剰である飽和圧で液体CO2組成物を収容する。排出ステップは、容器21および31の間の気体側の連結部32を最初に開き、そして次に液体側の連結部33を開くことによって遂行できる。液体は、洗浄容器21から貯蔵容器31へ、21が31の十分に上方にある場合は重力によって、および/またはポンピングによって流れる。上述した液体移動は、沸騰を回避し、それによってレジスト機構またはその他のデバイス機構への潜在的損傷を回避する。
乾燥/洗浄用組成物が超臨界組成物である場合は、気体−液体界面は生じないであろう。この場合は、除去するステップは、上述した容器から除去できるように第2材料(例、上述したコソルベントまたは第2気体)を超臨界乾燥/洗浄用組成物へ最初に添加することによって実施できる。第2気体が洗浄/乾燥用組成物を生じさせるために使用される場合は、気体によって置換される超臨界流体相はCO2より高い飽和蒸気圧を有する超臨界流体から選択されなければならない。代表的な気体には、窒素、アルゴン、ヘリウム、酸素、およびそれらの混合物が含まれるが、それらに限定されない。
あるいは、乾燥/洗浄用組成物が超臨界状態にある場合は、排出するステップの前に、純粋な超臨界CO2の添加と添加剤を含有する超臨界CO2の除去とを同時に実施することによって流体を含有する添加剤を十分に希釈することができる。十分な流体回転を遂行し、添加剤濃度を効果的に最小限に抑えた後に、超臨界流体は直接的に気体状態への遷移が生じるまでその流体を超臨界状態に維持し、したがって液体状態を回避することによって乾燥容器から排気される。これは、容器内の圧力が混合物の臨界的圧力(Pc)の下方になるまで流体温度を混合物の臨界的温度(Tc)より上方に維持することによって排出/排気するステップ中に遂行される。図3は、主としてCO2超臨界の混合物から液相を回避して気相へ転移する可能性を表す、主としてCO2系の状態図を示している。超臨界流体の膨張および引き続いての残留気体の膨張は吸熱工程であるので、流体もしくは気体の温度を臨界温度より上方に維持するため、したがって超臨界流体もしくは気体が液体もしくは固体へ凝縮するのを回避するためには本システムに熱を加える必要が生じることがある。超臨界相から気相への直接的遷移を引き起こすことによって、液体沸騰が回避され、それによって液体/固体界面で液体メニスカスを後退させることによって誘発される界面応力、ならびにマイクロ構造上および構造内への望ましくない溶質の沈着が回避される。
また別の実施形態では、除去するステップは供給源24からの追加の二酸化炭素を用いて乾燥/洗浄用組成物を希釈することによって実施されるが、その希釈中に該組成物は排出システム23によって容器から除去される。そのような技術のためには相当に大量の二酸化炭素が必要とされるので、引き続いて再使用するために供給源22または供給源24へ二酸化炭素を返送するための適切な配管およびバルブと一緒に、排出された二酸化炭素を蒸留するための蒸留器を使用するのが好ましい。
さらにまた別の実施形態では、蒸気相中に第2気体が優勢に残留するように乾燥/洗浄チャンバ内の超臨界CO2、または液体および気体CO2を移動させるために、CO2気体の飽和点を超える圧力範囲で第2気体が使用される。より低い圧縮熱を有する第2気体は、本システムにとって少ない熱損失でチャンバから大気圧へ排気できる。より小さなジュール−トムソン係数(μ)によって表される高圧から大気圧条件への気体の膨張は、基板もしくはその近位の温度のわずかな変化を生じさせる。(μCO2>μx、式中、X=第2気体)。
μ=(dT/dP)H
この実施形態では、高スループットのために圧力に迅速な循環が望ましい場合に、熱衝撃を回避するために第2気体が有用である。シリコンウエハなどの基板は、重大な温度勾配がその基板内に存在する場合はクラックを生じたり、損傷したりする可能性がある。気体膨張からチャンバおよび容器を冷却するステップは、さらに貴重な処理時間を追加し、温度調節のために実質的な入熱を必要とすることがある。第2気体を使用すると、熱損失および入熱を最小限に抑え、潜在的にサイクル時間およびエネルギー必要量を減少させることができる。
周期的相転移。上述したように、1つの実施形態では、洗浄するステップは好ましくは周期的相転移(CPM)を伴って、または洗浄組成物の相を周期的に転移/変化させながら実施される(すなわち、液体から気体へ、液体から超臨界へ、超臨界から気体へ、超臨界から液体へなどのように洗浄用組成物の相を周期的に変化させる)。CPMは、レジスト、レジスト残留物、有機残留物、粒子状物質などへの(1)物理的作用の強化、および(2)化学的作用の強化を生じさせる、高密度CO2相の工程制御/洗浄用組成物を使用する。1)に関しては、液体および超臨界CO2は有機ポリマーを可塑化し、それによってCO2は分子レベルでバルク層に浸透し、分子内および分子間結合相互作用を増強する。CPM中、流体の密度が上方および下方へ変化するにつれて、二酸化炭素の質量はポリマーバルク相内へ拡散したり、ポリマーバルク相から退出する。この工程はバルクポリマーへの機械適応力および歪みを引き起こし、膨張、収縮、層間剥離、潜在的融解、および最終的には表面からのポリマー材料の除去を促進する。高密度二酸化炭素による洗浄は、好ましくはコソルベント、界面活性剤、反応剤、および時には水を使用して強化されるので、高密度相はこれらの材料にとって良好な担体でもなければならない。2)に関しては、CPMは、A)連続相内、B)基板の表面、およびC)レジスト残留物などの除去される材料のバルク相内での化学的添加剤の分離を制御するために使用される。
多数の有機材料は、連続相密度と呼ばれる、ある範囲の温度(T)および圧力(P)の条件下で液体および/または超臨界CO2に可溶性である。これらの範囲内における材料の溶解度は、さらに濃度依存性でもある。高度に極性の低蒸気圧材料と一緒に水、および無機材料は、典型的には液体および超臨界CO2中には不溶性である。しかし、親CO2性を備える界面活性剤は、高密度CO2中にこれらの材料を分散させて乳化させる際に極めて有用であることが証明されている。さらに、フッ素化成分またはシロキサンを基剤とする成分を含有していない従来型界面活性剤は、一定のコソルベント修飾剤と結合させると高密度CO2相において有用であることが証明されている。CPM中、連続相の密度が変化するにつれて、化学的添加剤が基板の連続相と表面との間の区画の中で溶解され、分散され、乳化させられる。さらに、ポリマー残留物および多孔性残留物のバルク層内のCO2に添加剤を加えたものは、CPMの結果として、様々な速度でバルク材料から拡散し、バルク相内で添加剤を濃縮させる。バルク相内でのこの濃縮作用は、残留物の膨潤および溶解を運動学的に強化する。例えば、高密度CO2中での膨潤および溶解を阻害する極性水素結合官能基を含有する有機ポリマー残留物の場合を考察されたい。可溶性水素結合コソルベントをCO2と一緒に使用すると、バルクポリマーの膨潤が強化され、最終的にはそれらの材料を基板から除去することができる。しかし、この材料の膨潤および溶解または分散は、CO2中の添加剤の濃度によって運動学的に制限される。CPMを用いると、(T)および(P)の条件は連続相とウエハの表面との間の、そして残留物のバルク相内の区画化を引き起こすように操作できる。この工程は、分子レベルでの残留物中および残留物上の添加剤の局所的濃度を増加させる。この濃縮作用は、高密度CO2中の添加剤の溶液、分散液、またはエマルジョンに優る運動学的長所を表している。
これらをまとめると、CPMを高密度相二酸化炭素および化学的添加剤と組み合わせると、レジスト、レジスト残留物、粒子状物質および有機材料の除去は、マイクロエレクトロニクス基板の製造中に遭遇するこれらの材料への物理的および化学的作用を強化することによって強化される。
一例のウエハ洗浄工程中の周期的相転移(CPM)。集積回路の製造中、半導体ウエハは、図4に示した次の工程におけるエッチングステップ後に、高密度相二酸化炭素を用いて洗浄される。高密度相二酸化炭素は、300から5,000psiの圧力および−20℃から100℃の温度の条件下で、高圧容器とも呼ばれる圧力容器(I)(50)の中に保存されている。ウエハは自動法または手動法で洗浄チャンバ(III)(51)内に装填され、そこでウエハはプラットフォームが回転できるようにチャックおよびシールドシャフト(図示していない)へ接続されたプラットフォーム(XI)(52)上に装填される。プラットフォーム上に保持されたウエハの上方に位置するのは、高密度相二酸化炭素および化学的添加剤の流れが分散し、実質的な流体作用をウエハの表面上に差し向けるように設計されたスプレーバー(X)(53)である。洗浄チャンバ(III)は、バルブ(i)(55)を通してバルク貯蔵タンク(XII)(54)、またはバルブ(a)(56)を通して圧力容器(I)(50)のいずれかからの清浄な二酸化炭素を用いて−20℃から100℃の温度で300psiから5,000psiの圧力へ加圧される。高密度CO2の温度は、熱交換器(II)(60)を用いて変化させることができる。さらに、チャンバ(III)(51)内の処理相の温度は、チャンバの内部または外部で熱交換器を用いて変化させることができる。必要に応じて高度に濾過した化学的添加剤が、高密度CO2の添加中、あるいはまた高密度CO2の添加に先行して、バルブ(b)(62)を通して添加剤添加モジュール(VI)(61)から洗浄チャンバ(III)(51)へ添加される。添加剤添加モジュールは、洗浄チャンバへ添加剤材料を貯蔵する、濾過する、混合するおよび連続的または同時に計量するために役立つ。洗浄工程中、高密度相CO2は任意で、バルブ(e)(66)を通して洗浄チャンバから固体分離フィルタ(VIII)(64)およびバルブ(f)(65)を通してポンプ(VII)(63)を用いて、さらにスプレーバー(X)(53)を通して再びチャンバ内へ循環させられる。循環中、ウエハは0から3,000rpmの速度で回転させることができる。さらにまた洗浄ステップ中に、本系の密度は周期的に変化させられる。これは以下の順序で遂行できる。高圧容器であって高密度CO2を含有する圧力容器(1)(50)は、洗浄チャンバ(III)(51)の圧力を顕著に超える圧力(50から2,000psi高い)で維持される。低圧容器である圧力容器(V)(70)は、洗浄チャンバ(III)(51)より顕著に低い(50から3,000psi低い)圧力で保持され、個々の容器の温度は大まかに同一である。周期的工程では、バルブ(a)(56)が最初に開放されて(I)と(III)との間の質量の流れが許容され、次に閉鎖される。バルブ(d)(71)が次に開放されて(III)と(V)との間の質量の流れが許容される。次に、CO2から化学的添加剤を分離して廃棄物を除去するために役立つフィルタまたはその他の分離器などの分離器/排除モジュール(IX)(73)に対してバルブ(g)(72)が開放される。排除モジュールは、さらにまた除去されたCO2質量が質量流れサイクルを完了するためにバルブ(h)(74)を通してタンク(I)へ再添加されるのを許容する。あるいは、CO2質量は、チャンバ(III)より高い圧力を容器(1)内で再確立するために、バルク貯蔵から圧力容器(I)へ添加することもできる。この質量流れサイクルは、周期的相転移(CPM)を生じさせる所与の洗浄サイクルにおいて複数回(1から500回)繰り返される。洗浄チャンバ(III)内での高密度CO2の循環は、任意でCPM中にポンプ(VII)ならびにバルブ(e)および(f)を使用して強化できる。洗浄ステップ中に、CPMはあるいはまた可変容積チャンバ(IV)(80)のバルブ(c)(81)を開放してを用いて達成することもできる。このシナリオでは、(IV)の容積は、所与の洗浄サイクル中に1から500回の間で周期的に増加および減少させられる。このCPMシナリオでは、流体は任意でポンプ(VII)ならびにバルブ(e)および(f)を使用して洗浄チャンバ(III)を通して循環させることができる。ウエハの表面から汚染物質を除去するために十分な期間後、バルブ(a)を通してタンク(I)から純粋な高密度相CO2を添加して、高密度相CO2混合物が本システムからバルブ(d)を通して容器(V)内へフラッシュされる。このリンス工程は、全添加剤および廃棄物がチャンバから除去されるまで継続する。高密度CO2は洗浄チャンバ(III)から廃棄物もしくは排除システムへ排気される。
送達する水溶性化合物。上述した洗浄系中に水を使用する実施形態での洗浄を容易にするために送達される水溶性化合物の例には、酸(HF、HF/NH4F(「BOE」緩衝酸化物エッチング剤もしくは「BHF」緩衝HFとしても知られる)、H2SO4、HCl、HBr、H3PO4、HNO3、CH3CO2H、H228、KCN、KIなどを含むがそれらに限定されない)、反応剤(H22、NH4FおよびNH42、SiCl4、SiHCl3、Si(C25O)4、Br、I、EDTA、界面活性剤、(NH42SO4、O3、H2、SO3、N2O、NO、NO2、F2、Cl2、Br2などを含むがそれらに限定されない)、アルカリもしくは塩基(NH4OH、KOH、NaOHなどを含むがそれらに限定されない)、弱塩基およびイオン対(塩素(CH33+(CH2CH2OH−OH)、第3級アミンなどを含むがそれらに限定されない)ならびにそれらの組み合わせが含まれるが、それらに限定されない。。
マイクロエレクトロニクス構造の二酸化炭素による洗浄後の汚染物質を制御する方法。イオン注入後、「ラインの最終段階」(BEOL)洗浄工程後、「ラインの初期工程」(FEOL)洗浄工程後、およびCMPステップ後にマイクロエレクトロニクス基板の表面機構から除去された汚染物質の性質および組成は、劇的に相違する。したがって、洗浄ステップは、反応する、イオン化する、溶解する、膨潤させる、分散させる、乳化する、または基板からそれらを蒸発させるいずれかのために適切な化学薬品および溶媒を用いてこれらの汚染物質に対応しなければならない。そこで、多種多様な廃棄物材料に対応するために様々な水および溶媒を基剤とする系、および乾式洗浄工程が存在する。
しかし、全ての洗浄工程に共通して、基板に有機、無機、金属、または複合廃棄物を残さずに、実質的に残さずに、または本質的に残さずに(例えば、工程が完了した後に残された汚染物質の量は、洗浄工程前の汚染物質の量の重量で5%、1%、0.5%、0.1%、0.05%、0.01%、0.005%、0.001%以下である)全汚染物質および添加剤を基板から完全に除去する必要がある。ウォーターマークと呼ばれることが多い、湿式洗浄工程からの残留物中でしばしば見られるこれらの異物は、集積回路およびその他のマイクロエレクトロニクスデバイスの最終的性能に劇的かつ有害な影響を及ぼす可能性がある。従来、湿式洗浄および溶媒に基づく洗浄工程では、これはリンスステップのために大量の超純水および/または溶媒を用いることによって最小限に抑えられている。これらの工程では、流体の流れは廃棄物の再沈着が最小限に抑えられるように汚染物質を基板から吹き飛ばすような方法で方向付けられる。これらのリンス実践は、デバイスの機構サイズが縮小し続けるにつれて増大する大量の水性および溶媒を含む廃棄物の流れを生じさせる。ウォーターマークまたは水滴跡の発生を最小限に抑えるためには、IPA乾燥機などの蒸気相乾燥機もまた一般に使用されている。
マイクロエレクトロニクス基板の製造においては、液体および超臨界CO2を基剤とする洗浄および乾燥工程が提案されてきた。洗浄ステップ中または洗浄ステップに続いて、マイクロエレクトロニクス基板の表面機構上および内部への汚染物質の再沈着を効果的に排除する処理方法が必要とされる。本明細書に開示した工程は、さらにパターニングされたフローおよび廃棄シナリオの結果として生じるであろう処理リンス流体の副次的使用を有益にも最小限に抑えながらこの業務を遂行する。図5は、本発明のこの実施形態を一般的に説明するための基本工程図を表している。
二酸化炭素による洗浄ステップ中、チャンバ内の流体は超臨界状態であっても液体状態であってもよい。さらに、液体CO2組成物は飽和液体CO2(ある比率で液体と気体が共存している)と呼ばれる飽和点にあってよい、または圧縮することもできる(液体メニスカスがない)。本発明のために、各シナリオを個別に記載する。
洗浄チャンバから超臨界CO2組成物および汚染物質を除去すると、洗浄用添加剤および汚染物質の再沈着が回避され、リンス流体量が最小限に抑えられる。CO2を使用する洗浄ステップは、汚染物質の定量的除去を可能にする、または促進するために、コソルベント、界面活性剤、反応剤、水、およびそれらの一部または全部の組み合わせを含む様々な化学的添加剤を使用できる。これらの物質は、二酸化炭素連続相中に懸濁、溶解、分散、または乳化させることができる。懸濁液、分散液、エマルジョン、および超臨界CO2ならびに液体中の物質の溶液さえの安定性は、おおむねCO2流体密度の関数である。概して、CO2連続相の密度は懸濁液、分散液、またはエマルジョンの安定性を低下させるので、したがって低下する。洗浄チャンバの排出または排気を通してのCO2質量の除去は流体密度における段階的から急速な減少を指令するので、この工程は、基板上への汚染物質の場合は再沈着と呼ばれ、添加剤の場合は沈着と呼ばれる材料の望ましくない崩壊を生じさせる可能性がある。従来型の洗浄工程と一致して、大量の超純水リンス流体、この場合はCO2を使用すると、再沈着が最小となるように排気ステップ前に添加剤と汚染物質との混合物を希釈することができる。だがこれは、必要とされる流体容積および工程サイクル時間のために不都合な可能性がある。
本発明は、図5の状況に記載したような上述の問題を回避する。リンスステップの前またはリンスステップに続いてのいずれかに、あるレベルの汚染物質および/または添加剤を含有する超臨界処理流体は、基板上への物質の沈着または再沈着を生じることなく除去される。チャンバI(50)は、洗浄チャンバを表している。圧力容器II(51)は、洗浄後の処理用流体のための貯蔵タンクを表している。圧力容器は、必要に応じて排除またはリサイクリングシステムと統合することができる。サブシステムIII(52)は、清潔な(すなわち、基板上の所望レベルの清潔さ/汚染物質の再沈着の欠如を達成するために十分に清潔な)二酸化炭素の飽和蒸気圧を超える飽和蒸気圧を備える第2気体であってよい、または超臨界相もしくは気相の加熱された二酸化炭素であってよい気体または超臨界成分の供給源を表している。代表的な第2気体には、ヘリウム、窒素、アルゴン、および酸素、またはそれらの混合物が含まれる。本発明では、汚染された超臨界流体は、処理チャンバ(I)内の圧力を超える圧力でバルブ(b)53を通してシステムIII 52からの第2気体起源を提供することによって、処理チャンバから除去される。バルブ(b)の開放とほぼ同時に、栓流または排出法でCO2に汚染物質が加わったものを除去するためにチャンバ(I)と容器(II)との間のバルブ(c)54が開放される。バルブ(b)はチャンバ(I)に第2気体の連続的流れを生じさせるために開放されたままになり、全流体物質がチャンバ(I)から排出された時点にバルブ(b)および(c)が閉鎖される。この作業を通して、容器(II)内の圧力はチャンバ(I)より低圧で維持される。
あるいは、システム(III)は、チャンバ(I)内の処理流体の圧力および温度を超える圧力および温度で超臨界CO2の加熱供給源をチャンバ(I)へ供給することもできる。理想的には、システム(III)から添加された超臨界CO2は、チャンバ(I)内の密度より低い密度を有する。この場合、様々な密度のCO2流体の混合には、チャンバ(I)から容器(II)内への質量の急速な流れが付随する。フラッシュ作用は洗浄チャンバからCO2と汚染物質の混合物を除去する。この工程は、その後に排気が続く最終排出として使用できる、または最終排気に先行する一連の充填および排出順序と結び付けて使用することもできる。
洗浄チャンバから液体CO2組成物および汚染物質を除去すると、洗浄用添加剤および汚染物質の再沈着が回避され、リンス流体量が最小限に抑えられる。液体CO2組成物は、ヘリウムもしくは窒素などの第2気体、または気体もしくは超臨界CO2を組み込んだ以下の順序を使用すると、添加剤の沈着または汚染物質の再沈着を生じることなく洗浄チャンバから除去できる。第1の場合には、液体組成物が最初にシステム(III)がチャンバ(I)内の圧力より高い圧力で第2気体を含有するシステム(III)へのバルブ(b)を開放することによってチャンバ(I)から除去される。ほぼ同時、またはほんの少し後に、チャンバ(I)と容器(II)との間のバルブ(c)54が開放され、チャンバ(I)からの液体組成物の強制栓流が可能にされる。(I)から液体が完全に除去された後、バルブ(c)54および(b)53が閉鎖される。この工程は、排気前の最終除去排出ステップとして、または一連の充填および排出ステップにおいて使用できる。あるいは、加熱気体CO2もしくは超臨界CO2が同一工程ステップを用いてシステム(III)から供給される。気体CO2の場合は、気体の圧力および温度は除去されるチャンバ(I)内の処理流体の圧力および温度を超えていなければならない。システム(III)から供給されるのが超臨界CO2である場合は、流体は、その流体の密度がチャンバ(I)内の液体の密度より低い限り、チャンバ(I)内の流体の温度および圧力を超える温度および圧力で供給される。質量が(III)から(I)から(II)へ急速に流れるにつれての気体もしくは超臨界CO2から液体への凝縮は、排出フラッシュステップ中の表面リンス作用を提供することによってこの工程に有益な可能性がある。さらに、この工程は、チャンバ排気前の最終除去もしくはフラッシュステップとして、または最終排気に先行する一連の充填および排出ステップにおいて使用できる。
あるいは、洗浄チャンバが飽和蒸気圧で液体CO2組成物を使用する場合は、流体組成物は基板表面上への物質の沈着を回避するために下記のステップで排出することができる。この場合、飽和蒸気圧にある液体CO2は、排出またはフラッシュステップ前は容器(II)内に保持される。液体組成物は、(I)の蒸気相側を(II)の蒸気相側と接続しているバルブ(e)55を最初に開放し、次に(I)の液体側を(II)の蒸気側と接続しているバルブ(c)54を開放することによって(I)から排出される。重力によって、液体の流れはチャンバ(I)内の液体を沸騰させることなく(I)から排出される。液体の沸騰は、表面上への混入物質の沈着を回避するために防止される。好ましくは、2つのチャンバ間に蒸気側の連絡(すなわち、蒸気の流れを許容する蒸気連絡通路)が提供される。この場合も、この工程は、チャンバ排気前の最終除去もしくは排出ステップとして、または最終排気に先行する一連の充填および排出ステップにおいて使用できる。
図6は圧力容器内の基板を概略的に示しており、この基板はその上に沈着した超臨界流体の薄膜を有する。図7は、図6に示した製品を形成するための工程を概略的に示している。一般に、圧力容器111は第1超臨界流体114の膜でコーティングされた基板112を収容しており、この第1超臨界流体は(例えば、その中に溶解、分解または分散した)コーティング成分を含有または有する。第2超臨界流体115は、容器内の圧力が実質的に同一のままとなるように、(例えば、第1超臨界流体よりわずかに高い圧力で)圧力容器内に導入され、そして第1超臨界流体が容器から(例えば、背圧バルブを通して)押し出される。それにより第1超臨界流体の薄膜が基板上に沈着する。反応ステップなどの介在ステップは超臨界流体内のコーティング成分に対して実施することができ、そして第1超臨界流体は引き続いて基板表面上に沈着したコーティング成分を残すために蒸発させてよい。
本発明を実施する際に第1超臨界流体として使用できる二酸化炭素組成物は、典型的には、以下のものを含む。
(a)残部の、典型的には少なくとも20、30、40、50もしくは60%の二酸化炭素と、
(b)0、0.01、0.1、0.5、1もしくは2%から5もしくは10%以上までの界面活性剤と、
(c)0、0.01、0.1、1もしくは2から30、40もしくは50%以上までの有機コソルベントと、
(d)任意の0、0.01、もしくは0.1から2もしくは5%の水と、
(e)組成物中に分散した0.01、0.1、もしくは1から2、5もしくは10%以上のコーティング成分(例えば、溶液または分散液として)。
前記容器内の第1および第2の超臨界流体は、典型的には約1,000もしくは1,080psigから約5,000もしくは10,000psiの圧力および約30もしくは31℃から約150もしくは250℃までの温度である。
第1超臨界流体の密度より低い密度である、ヘリウム、アルゴン、窒素、酸素、水素、オゾン、およびそれらの混合物を含むがそれらに限定されないあらゆる適切な組成物は第2超臨界流体として使用できる。
薄膜の厚さは使用される特定コーティングフォーマットおよび第1超臨界流体に任意で含有される成分(例、コーティング成分)に依存して変動するであろうが、典型的には約100もしくは200Åから約1もしくは2μの厚さであろう。
コーティング成分。コーティング成分は下記の1つ以上を含んでいてよい。
・調製したCO2中に溶解または分散した親CO2性ポリマーおよびポリマー材料。誘電体、フォトレジスト、潤滑剤、絶縁材、顔料などのポリマー材料。
○調製物は以下を含む。
○超臨界状態にある純粋なCO2
○コソルベント修飾CO2連続相。
○極性媒体−水のマイクロエマルジョンドメイン(親CO2性および疎CO2性構造の両方に界面活性剤組成物を利用する)。
○上記の組み合わせ。
・界面活性物質−例えば表面に会合、結合または接着するであろうコーティング剤(例えば、繊維基板に適用できる技術などの一般的説明については第6,287,640号、Surface treatment of substrates with compounds that bind theretoおよびその中の参考文献を参照されたい)。
・下記などのセラミックおよびガラス前駆体材料。
○シリコン網前駆体、例えば、TEOS、水素シルセスキオキサン(HSQ)、メチルシルセスキオキサン(MSQ)。
○他の半導体ならびにIV属およびV属金属を基材とする他の網前駆体(化学的性質および材料の特定リストは下記に示す)。
・調製CO2中に溶解した銅およびアルミニウムなどの金属原子。
・調製CO2中に溶解または分散した金属錯体。
・調製CO2中に溶解または分散した金属層前駆体(例えば半導体製造において「バリア層」材料を形成するための特異的な化学的性質−タンタル、タングステンおよび窒化チタン、ケイ化カーバイドならびにホウ素を含有する材料などの三成分材料(特異的流体相の化学的性質は以下に記載する)。
コーティング手順。コーティング工程は、以下を含むがそれらに限定されない便宜的形式で実施できる。
全ての物理的順序でのメニスカスコーティング(液体CO2については第6,497,921号、Method for meniscus coating with liquid carbon dioxideおよび同一名称の第6,083,565号ならびにその中の参考文献に詳細に記載されている。−関連性に留意されたい。これらの参考文献はメニスカスを支持できる唯一の条件として液相に限定されている。それらはさらにまたポリマー材料を用いたコーティングに限定されている)。
全ての物理的順序でのメニスカスコーティング(液体CO2については第6,001,418号、Spin coating method and apparatus for liquid carbon dioxide systemsおよびその中の参考文献に詳細に記載されている。−関連性に留意されたい。これらの参考文献はメニスカスを支持できる唯一の条件として液相に限定されている。それらはさらにまたポリマー材料を用いたコーティングに限定されている)。
基板上の表面−膜(スピン、メニスカスなどによって形成された表面膜)からの材料の、該表面との反応性会合による沈着。これの例は以下である。
・「ホットウォール(Hot wall)」沈着法....コーティングされる表面上の熱誘導性反応。
○特に金属錯体の還元に適用できる。
○特に結合および/または架橋に適用できる。
・コンビナトリアル化学分析のための表面を作成するために使用されるような表面化学結合。
以下の非限定的な実施例において本発明をより詳細に説明する。
[比較例A]
(液体二酸化炭素による被覆ウエハの処理)
イソプロパノール(IPA)などのCO2混和性で親水性の溶媒を、1片のポリヒドロキシスチレン(PHS)被覆シリコンウエハを収容した高圧容器内に添加した。この高圧容器へ液体CO2を添加した。液体CO2/IPA(2容量%のIPA)混合物のメニスカスレベルがウエハの表面より上方に上昇すると、ウエハの損傷が観察された。この系を15分間混合した後、液体CO2/IPA混合物を高圧容器の底部から排出した。IPAが液体/気体/ウエハ界面で沸騰し、ウエハのより多くの損傷が観察された。
[実施例1]
(液体二酸化炭素による被覆ウエハの処理)
液体CO2を、1片のPHS被覆シリコンウエハを収容した高圧容器にウエハが完全に液体CO2中に沈むまで添加した。液体CO2およびIPA(2容量%のIPA)を含有する混合物(あるいは、いずれかのCO2混和性で親水性の溶媒、またはいずれかの親水性/親CO2性の界面活性剤)を、液体CO2中に沈められたPHS被覆シリコンウエハ片を収容した高圧容器に添加した。ウエハの損傷は観察されなかった。この系を15分間混合した。それでもウエハの損傷は生じなかった。第2気体(ヘリウムもしくは窒素)を高圧容器の上部へ添加した。液体/気体/ウエハ界面での沸騰を防止するために、第2気体の圧力下で液体CO2/IPA混合物を排出させた。第2気体を用いてこの系を排出させた後、ウエハの損傷は生じなかった。この系を純粋な液体CO2でリンスし、次に上述したように排出させた。ウエハの損傷は全く生じなかった。
[実施例2]
(液体二酸化炭素による被覆ウエハの処理)
飽和蒸気圧にある液体CO2を、1片のPHS被覆シリコンウエハを収容した高圧容器にウエハが完全に液体CO2中に沈むまで添加した。液体CO2およびIPA(2容量%のIPA)を含有する混合物(あるいは、いずれかのCO2混和性で親水性の溶媒、または親水性/親CO2性の界面活性剤)を、液体CO2中に沈められたPHS被覆シリコンウエハ片を収容した高圧容器に添加した。ウエハの損傷は観察されなかった。液体CO2混合物は、高圧容器から飽和蒸気圧にある主として液体CO2を収容するまた別の高圧容器へ、両方の容器の蒸気側を接続しているバルブを最初に開放し、次に両方の容器の液体側を接続しているバルブを開放することによって排出させた。液体は、完全な排出を許容するために第1容器が第2容器の実質的上方に配置されているので、重力によって排出された。損傷は観察されなかった。ウエハ断片を収容する容器へリンス剤として純粋な液体CO2を添加し、そしてその液体を引き続き上述した方法で排出させた。同様に、損傷は観察されなかった。
[実施例3]
(液体および超臨界CO2による被覆ウエハの処理)
液体CO2を、1片のPHS被覆シリコンウエハを収容した高圧容器にウエハが完全に液体CO2中に沈むまで添加した。液体CO2およびIPA(2容量%のIPA)を含有する混合物(あるいは、いずれかのCO2混和性で親水性の溶媒、または水に対するCO2の輸送能力を上昇させる界面活性剤)を、液体CO2中に沈められたPHS被覆シリコンウエハ片を収容した高圧容器に添加した。ウエハの損傷は観察されなかった。ウエハの表面から実質的に大部分の水を除去するために十分な時間の経過後、乾燥チャンバ内で約5回の液体回転を実行するために純粋な液体CO2を用いて液体混合物を希釈した。次に液体CO2へ熱を加え、超臨界相への遷移を引き起こした。次にウエハを収容するチャンバから、流体および気体の温度をCO2の臨界的温度より上方で維持することによって、したがって液相を回避することによって排出かつ排気させた。チャンバから取り出したウエハに損傷は生じていなかった。
[実施例4]
(超臨界二酸化炭素による被覆ウエハの処理)
超臨界CO2を、1片のPHS被覆シリコンウエハを収容した高圧容器に添加した。超臨界CO2およびIPA(2容量%のIPA)を含有する混合物(あるいは、いずれかのCO2混和性で親水性の溶媒、または水に対するCO2の輸送能力を上昇させる界面活性剤)を、PHS被覆シリコンウエハ片および超臨界CO2を収容する高圧容器に添加した。ウエハの損傷は観察されなかった。この系を15分間混合した。それでもウエハの損傷は生じなかった。この系が臨界未満となり、液体メニスカスが形成されるまで第2気体(ヘリウムもしくは窒素)を高圧容器の上部に添加した。液体/気体/ウエハ界面での沸騰を防止するために、第2気体の圧力下で液体CO2/IPA混合物を排出させた。第2気体を用いてこの系を排出させた後、ウエハの損傷は生じなかった。この系を純粋な液体CO2でリンスし、次に上述したように排出させた。ウエハの損傷は全く生じなかった。
[比較例B]
(液体二酸化炭素による被覆ウエハからの水の溶媒和)
1滴の水を1片のPHS被覆シリコンウエハの上部に垂らした。この水滴を含有するウエハを高圧観察セル内に置いた。純粋な液体CO2を高圧容器へ添加した。この系を15分間混合した。観察セルのサファイア・ウィンドウを通して視覚的に測定したところ、液体CO2は水滴全体に溶媒和しなかった。
[実施例5]
(液体二酸化炭素およびコソルベントによる被覆ウエハからの水の溶媒和)
1滴の水を1片のPHS被覆シリコンウエハの上部に垂らした。水滴を含有するウエハを高圧観察セル内に置いた。液体CO2を、1片のPHS被覆シリコンウエハを収容した高圧容器にウエハが完全に液体CO2中に沈むまで添加した。液体CO2およびIPA(2容量%のIPA)を含有する混合物(あるいは、いずれかのCO2混和性で親水性の溶媒)を、液体CO2中に沈められたPHS被覆シリコンウエハ片を収容した高圧容器に添加した。ウエハの損傷は観察されなかった。この系を15分間混合した。水滴は完全に溶媒和した。それでもウエハの損傷は生じなかった。第2気体(ヘリウムもしくは窒素)を高圧容器の上部に添加した。液体/気体/ウエハ界面での沸騰を防止するために、第2気体の圧力下で液体CO2/IPA混合物を排出させた。第2気体を用いてこの系を排出させた後に、ウエハの損傷は生じなかった。この系を純粋な液体CO2でリンスし、次に上述したように排出させた。ウエハの損傷は全く生じなかった。
[実施例6]
(液体および超臨界二酸化炭素およびコソルベントによる被覆ウエハからの水の溶媒和)
水性現像後工程におけるように、水で浸潤した5”PHS被覆ウエハ全体をプロトタイプの乾燥チャンバ内に置いた。チャンバに液体二酸化炭素を充填した。このプロトタイプシステムは、液体CO2と2容量%のIPA(あるいは、いずれかのCO2混和性で親水性の溶媒または水に対するCO2の輸送能力を上昇させる界面活性剤)を含有する第2高圧容器を具備していた。混合液体CO2/IPAは、ポンプを使用して第2高圧容器から乾燥チャンバへ添加した。この系を15分間混合した。液体CO2/IPA混合物は、5回の純粋な液体CO2の液体回転によりフラッシュすると、IPAの濃度は以前の濃度の何分の一へ低下した。CO2フラッシュ中にメニスカス形成は発生しなかった。CO2フラッシュ後、流体を超臨界相へ遷移させる35℃へ液体CO2を加熱した。次に流体、および引き続いて気体を臨界的温度のCO2の上方に維持するために熱を加えるとともに、超臨界CO2を容器から排出/排気させた。チャンバから完全に排気した後、乾燥したウエハを取り出すと損傷していなかった。
[実施例7]
(CO2および化学的添加剤による描出して水性現像したレジスト被覆ウエハからの水の乾燥)
PHSフォトレジストおよびPAGで被覆された5インチのシリコンウエハに描出し、0.238正規の水酸化テトラメチルアンモニウムを用いて現像し、脱イオン水を用いてリンスした。次に湿式ウエハを高圧乾燥チャンバへ移し、そこで飽和蒸気圧にある液体CO2を少量添加した。ウエハの表面およびレジストパターンの機構から水を移動させて除去するために、親水性/親CO2性の界面活性剤と事前に混合した飽和蒸気圧にある追加の液体CO2をチャンバへ添加してチャンバを通して循環させた。短時間の後に、最初に2つの容器間の蒸気側の連絡を許し、次に乾燥容器の底部と第2保存容器の底部とを接続しているバルブを開放することによって、少量の液体CO2を含有する第2保存容器へ液体を排出させた。第2保存容器は乾燥チャンバの十分に下方に位置していたので、液体の大半は乾燥チャンバから排出された。次に乾燥チャンバに純粋な液体CO2を充填し、リンスして次に上述したように排出させた。これを添加剤の濃度が効果的にゼロになることを保証するために繰り返した。乾燥チャンバ内に残っている少量の液体CO2を臨界点である350℃を超える温度へ加熱し、そして流体/気体温度を臨界温度の上方に維持しながら、したがって液体メニスカスの形成を回避しながらCO2を排気させた。次に描出し、現像し、そして乾燥させたウエハをチャンバから取り出し、光線および湿気のない場所に貯蔵し、次に走査型電子顕微鏡を用いて分析した。顕微鏡写真は、120nm未満の線/間隔パターンを表す現像した機構がCO2乾燥工程によって影響を受けず構造的に一貫性があることを証明した。
[実施例8]
(MEMsからの水および汚染物質の除去)
一連の静電アクチュエータを含有するMEMsデバイスの製造中には、犠牲酸化物層がフッ化水素酸水溶液を用いて除去され、基板表面に平行な一連の回転プレートが露光された。連続的リンスステップ後には、デバイスは高圧CO2を含む乾燥チャンバへ移され、そこで液体CO2混合物が飽和蒸気圧で添加された。液体CO2は、均質な組成物を保証するためにCO2と事前に混合された親CO2性/親水性の界面活性剤を含有した。循環期間後、純粋な液体CO2が液体CO2としてチャンバ内に挿入され、定圧の容器から界面活性剤、水および水に含まれる溶質が除去された。チャンバ内に残留している液体CO2は次に、流体を超臨界状態へ転換させる臨界温度より上方へ加熱された。処理チャンバ内の超臨界流体は次に、流体/気体混合物の温度がCO2の臨界温度より上方にとどまることを保証するために役立つように、貯蔵タンク内に排気された。これは、排出/排気するステップ中に液体状態、液体メニスカス、および関連する表面張力が回避されることを保証するために役立つものである。MEMsデバイスのSEM分析は、回転プレートがリリース・スティクションの証拠を伴わずに基板表面に全部実質的に平行であることを証明していた。
[実施例9]
(CMP後洗浄)
研磨用スラリ、研磨残留物および粒子状物質は、以下の工程ステップを用いてCMP後に除去した。金属または誘電体表面を備える半導体ウエハである基板を圧力容器内に装填した。高純度親CO2性−b−親水性界面活性剤を含有する液体CO2エマルジョン中の過酸化水素の水溶液(水中で濃度30%)を1,200psiおよび室温で導入した。周期的相転移を使用してエマルジョンをウエハの表面上で凝縮させ、次に再乳化させた。これは、室温での1,200psiから約15℃での790psiへの圧力低下を引き起こすように洗浄チャンバの有効量を増加させることによって実施した。この容量は自動可変容量シリンダおよび適切なバルブを用いて増加させた。洗浄用水溶液は、液体CO2の密度が低下するにつれてウエハの表面上で短時間凝縮させた。圧力は、容器容量を低下させて次に洗浄チャンバ内の圧力を1,200psiへ回復させることによって増加させた。このサイクルを20回繰り返した。次に第1溶液は高純度親CO2性−b−親水性界面活性剤とともにCO2エマルジョン中のフッ化物水溶液からなる第2洗浄溶液によって容器から移動させた。次に、圧力を上述したように20回、周期的に変化させた。次に1,800psiおよび40℃である超臨界CO2を高純度界面活性剤とともに、残っている粒子状物質の除去を促進するために容器を通して流れさせた。次に超臨界CO2リンスは、純粋なCO2を容器へ添加することによって完了した。このシステムを最後に排気し、基板を取り出した。
[実施例10]
研磨用スラリ、研磨残留物および粒子状物質は、以下の工程ステップを用いてCMP後に除去した。金属または誘電体表面を備える半導体ウエハである基板を圧力容器内に装填した。高純度親CO2性−b−親水性界面活性剤を含有する液体CO2エマルジョン中の過酸化水素の水溶液を1,200psiおよび室温で導入した。洗浄用水溶液は、洗浄容器に接続している可変容量チャンバを用いてウエハの表面上で短時間凝縮させた。圧力は、圧力を初期値へ回復させるために容器容量を減少させることによって増加させた。このサイクルを20回繰り返した。第1溶液は高純度親CO2性−b−親水性界面活性剤とともにCO2エマルジョン中のフッ化物水溶液からなる第2洗浄溶液によって容器から移動させた。次に、圧力を、可変容量チャンバを用いて上述したように20回変化させた。次に残っている金属イオンの除去を促進するために、少量のCO2可溶性キレート剤(エチレンジアミン四酢酸)を含有する超臨界CO2を容器に通して流れさせた。次に超臨界CO2を高純度界面活性剤とともに、残っている粒子状物質の除去を促進するために容器に通して流れさせた。次に超臨界CO2リンスは、純粋なCO2を容器へ添加することによって完了した。このシステムを最後に排気し、基板を取り出した。
[実施例11]
フォトレジストを使用してイオン注入のために基板をパターニングした。この工程のために使用したフォトレジストを以下のステップにおいて取り出した。イオン注入後の半導体である基板を圧力容器内に装填した。超臨界CO2を3,000psiおよび35℃で容器に添加した。超臨界CO2が容器を通して循環するにつれて、トリエタノールアミン、N−メチル−2−ピロリドン、親CO2性および親水性成分をどちらも含有する界面活性剤から構成されるコソルベント混合物、ならびに水を添加した。混合物の組成は重量で7:2:1:1であり、そして添加した添加剤の総濃度は、流体系の2.5%(w/v)であった。容器の圧力は、可変容量チャンバおよび適切なバルブを用いて低下させ、洗浄チャンバ内の処理流体の膨張を引き起こし、それによって基板の表面上への添加剤混合物の濃縮混合物を凝縮させた。混合物の温度は、膨張の経過においてTc未満へ低下し、液体CO2への遷移を引き起こした。この系を再加圧し、再び可変容量チャンバおよび内部加熱器を用いて流体混合物をTcより上方へ加熱した。このサイクルを20回繰り返し、次に純粋な超臨界CO2リンスを実施した。このシステムを排気し、基板を取り出した。
[実施例12]
ポリマーフォトレジストおよびレジスト残留物を、以下の工程ステップを用いて反応性イオンエッチング後に試験ウエハの構造から除去した。親CO2性および親油性部分の両方を備える超臨界CO2と高純度界面活性剤との混合物中のアミン(トリエチルアミン)を3,000psiおよび60℃で容器に添加した(2%(w/v)アミン、1%(w/v)界面活性剤)。流体混合物を容器に通して循環させた。流体混合物の圧力を急速に1,500psiへ減少させ、それによって添加剤を基板の表面上へ凝縮させた。この圧力は、次に急速に3,000psiへ増加し、全化学的添加剤を再分散させた。可変容量チャンバを用いてこのサイクルを20回繰り返した。温度をできる限り60℃近くに保持するために内部加熱器を用いてチャンバへ熱を加えた。チャンバの底部にあるバルブが廃棄物容器へ開放されたので、3,500psiでのヘリウムガスが次に洗浄チャンバへ添加された。処理流体はチャンバから急速にフラッシュされ、純粋ヘリウムの加圧大気によって置換された。ヘリウムを排気した後、洗浄容器を純粋な超臨界CO2でリンスした。コソルベント(2,4−ペンタンジオン、総計3%(w/v))および高純度界面活性剤(1%(w/v))から構成される第2洗浄溶液を3,000psiおよび60℃にあるCO2を洗浄容器に添加した。本系の圧力は上述したように20回変化させたが、その間に流体の温度は内部加熱器を用いてできる限り60℃近くに維持した。洗浄流体は上述したように第2気体としてヘリウムを使用して排出させた。最後に、純粋な超臨界CO2リンスを完了し、この系を第2気体としてヘリウムを使用して排出させ、次に排気させ、そして基板を取り出した。
[実施例13]
(超臨界ヘリウムと超臨界二酸化炭素との界面の形成)
充填した高圧観察セルに約55℃の温度および約2,800psigの圧力で超臨界二酸化炭素を充填した。超臨界二酸化炭素は、容積で約4%未満のコソルベントN−メチルピロリドンを含有していた。排出は、セル内を定圧近くに維持するために背圧レギュレータを通して行った。図8は、約2,900psigの圧力および超臨界二酸化炭素と同一の温度で観察セルの上部への超臨界ヘリウムの添加を示している。写真は、わずかに高い位置からセル内の観察ポートを通して撮影されている。超臨界ヘリウムはセルの上部部分にあり、超臨界二酸化炭素はセルの底部部分にあり、そして超臨界二酸化炭素はセルの底部で排出管を通してセルから排出されている。2種の超臨界流体間の界面の形成は、セル内の上方および下方領域間の暗色の境界として観察された。追加の時間および追加のヘリウムが添加された後、図9においては追加の超臨界二酸化炭素がセルの底部で排出管から排出されていることに留意されたい。超臨界二酸化炭素の薄層は、その中に運ばれたいずれかのコーティング成分とともに、被覆されている基板を表す観察セルウィンドウへ接着している。
図10は、約2,900psigおよび約55℃で超臨界ヘリウムが充填された圧力セルへの超臨界二酸化炭素流体の添加を示し、二酸化炭素は約2,950psigのわずかに高い圧力で添加されている。超臨界二酸化炭素はセルの底部へヘリウムを通して流れの中に落下することに留意されたい。この落下する流れの境界は超臨界二酸化炭素と超臨界ヘリウムとの間の界面を表し、この場合には観察セルの底部である基板上へ超臨界二酸化炭素によって沈着させられる超臨界二酸化炭素によってコーティング成分が運ばれることを許容する。底部での二酸化炭素相は、観察セル内のほぼ定圧を維持するために、背圧レギュレータを介してセルの底部を通して排出させられることを留意されたい。
[実施例14]
(超臨界流体からのポリマーのコーティング)
固形基板上にコーティングされるべきポリマーまたはその他の材料を、混合物(DEN1およびVIS1)に対して適切な密度および粘度を生じさせる濃度で超臨界流体1(SF1)中に溶解させた。SF1は、コーティングされる材料の必要な溶解度に到達するため、または必要な密度および粘度を達成するために、適切な添加剤をさらに含有することができる。第2超臨界流体2(SF2)は、この第2流体の密度および粘度が各々DEN2およびVIS2であるように純粋な流体または添加剤を備える純粋な流体のいずれかを有することによって調製できる。SF1およびSF2はどちらも、それらが完全に均質溶液であるより上方の臨界点を示している。
SF1およびSF2は、両方の溶液の臨界温度および圧力を個々に超える温度および圧力では本質的に非混和性である。すなわち、DEN1>DEN2であれば、これら2種の流体は2種の流体相を分離する安定性界面を示す可能性がある。SF1およびSF2は相互において部分的溶解度を有する可能性はあるが、SF1中のSF2の溶解度はコーティングされるべき物質またはSF1中に溶解したいずれかの成分を実質的に沈降させるために感知可能に、または実質的に沈降させるためには十分ではない可能性がある。これを言い換えると、SF2およびその成分はSF2およびその成分にとって抗溶媒ではあり得ない。同様に、SF1はSF2およびその成分に対する抗溶媒ではあり得ない。これらの条件下では、SF2は安定性形状にあるSF1の上方で懸濁し、SF2は上述したように容器からSF1に取り換えるために使用できる。さらにまたSF1およびSF2の粘度が、ヴィスカス・フィンガリング(viscous fingering)およびその他の不安定性現象が重力、自然対流、またはいずれか他の表面もしくは体積力に起因するSF2からSF1内への運動を生じさせないようであることが好ましい。
高圧のメニスカスを生じさせないコーティング工程(FMC)によってコーティングされる対象は、既にコーティングポリマーまたはその他の材料を含有するSF1内に完全に沈めることができる。コーティング工程を開始するためには、SF2は、それがSF1と置換され始めるような方法でコーティングチャンバの上部で導入される。SF1は、湿潤してコーティングされる基板に接着すると推定されるので、SF1の薄膜が固体に接着して残留するであろう。膜厚は、置換速度(SF2の速度)、2種の溶液の粘度(VIS1およびVIS2)、および2種の溶液の密度(DEN1およびDEN2)に依存するであろう。SF2がSF1に置換するにつれて、チャンバ圧を低下させることによってチャンバから2種の溶媒の蒸発を開始させることが可能である。これはコーティング溶液の粘度の増加を生じさせ、そしてコーティングが表面上に沈着することを生じさせるであろう。膜およびコーティングの厚さは蒸発速度に依存するであろう。さらにまた、圧力または蒸発における減少を伴わず、結果として基板上に単層膜のコーティング材料を生じさせるようにこれを実施することも可能である。
高圧スピンコーティング工程によってコーティングされる対象は、回転チャック上に配置できる。固定容積の溶液SF1は対象の中心に配置することができ、そしてチャンバにはSF1が混乱させられないような方法でSF2を緩徐に充填することができる。この時点で、チャックは、SF1溶液が対象の辺縁に向かって流れ始め、薄膜を作製するような速度で回転させることができる。同時に、流体SF2はチャックの回転によって生成された力の結果としてさらに外向きに流れ始めるであろう。この手順と同様に、チャンバ内の圧力を低下させることによって両方の溶媒の蒸発を開始させることも可能である。蒸発が進行するにつれて、SF1の粘度は上昇し、基板の液体または固体コーティングが作製される。膜の厚さは、2種の溶液の粘度VIS1およびVIS2ならびに2種の溶液の密度(DEN1およびDEN2)に依存するであろう。膜の厚さは、さらにまた回転速度および蒸発速度にも依存するであろう。
[実施例15]
(多層沈着)
厚膜または多層膜を形成する工程を以下のとおりに実施した。1)Cuの有機金属前駆体を、基板を含有する高圧セル内のCO2中に溶解させた。2)CO2流体混合物に緩徐に置換して基板上に溶質を含有する有機金属膜を残すために、ヘリウムガスを圧力容器に添加した。3)本系の圧力を10atm低下させて表面膜からCO2の蒸発を促進した、および4)酸化状態のCu(II)からCu(0)へ金属種を還元させて金属膜を残すために、10atmのH2ガスを圧力容器へ添加した。5)次に圧力容器にヘリウム/H2ガスに置換するCO2/有機金属混合物を再充填し、次に再び6)緩徐なヘリウム置換および7)H2還元を実施した。この工程を数回繰り返した。
上記は本発明の例示であり、限定するものであると見なされてはならない。本発明は、本明細書に記載した請求項の同等物を含む添付の特許請求の範囲によって規定される。
基板を示す図であり、基板上にはパターニングされたレジスト層が形成されており、その上の様々な場所に水が存在する。 本発明の方法を実施するための装置の模式図である。 大部分がCO2である超臨界混合物から液相を回避して気相へと遷移することを表す大部分がCO2である系の状態図である。 本発明の方法を実施するための装置の模式図である。 本発明の方法を実施するための装置の別の実施形態の模式図である。 圧力セル内の基板の模式図であり、この基板はその上に沈着した超臨界流体の薄膜を有する。 図6に示した製品を形成するプロセスの模式図である。 超臨界二酸化炭素を含有する圧力セルの上部への2,500psigの圧力でのヘリウムの添加を示しており、超臨界二酸化炭素はセルの底部で排出管を通してセルから排出されている。本写真は、わずかに高い位置からセル内の観察ポートを通して撮影されている。 追加のヘリウムが添加されてから少し時間をおいて撮影された以外は、本質的に図8と同様の写真である。 超臨界ヘリウムが充填された圧力セルへの超臨界二酸化炭素流体の添加を示している。超臨界二酸化炭素はセルの底部へのヘリウムを通る流れの中に落下している様子であることに留意されたい。

Claims (22)

  1. 二酸化炭素を含む超臨界流体を収容した密閉圧力容器を準備するステップと、
    前記超臨界流体の密度より低い密度を有する第2の流体を、前記超臨界流体の圧力より大きな圧力で、前記容器へ添加するステップと、
    前記超臨界流体と前記第2の流体との界面を形成するステップと、
    前記界面を維持しながら前記第2の流体の圧力を用いて前記超臨界流体の少なくとも一部を前記容器から移動させるステップと
    を含む超臨界流体を圧力容器から移動させる方法。
  2. 前記界面が視認できる界面である請求項1に記載の方法。
  3. 前記界面が光学的に検出可能な界面である請求項1に記載の方法。
  4. 前記超臨界流体が少なくとも50重量%の二酸化炭素を含む請求項1に記載の方法。
  5. 前記第2の流体が超臨界流体を含む請求項1に記載の方法。
  6. 前記第2の流体が少なくとも50重量%のヘリウムを含む請求項1に記載の方法。
  7. 前記第2の流体が実質的にヘリウムからなる請求項1に記載の方法。
  8. 前記容器が洗浄されるべき物品を収容し、前記超臨界流体が洗浄剤をさらに含む請求項1に記載の方法。
  9. 前記容器がコーティングされるべき物品を収容し、前記超臨界流体がコーティング成分をさらに含む請求項1に記載の方法。
  10. 前記容器が重合用容器であり、前記超臨界流体がポリマーをさらに含む請求項1に記載の方法。
  11. 前記容器が組成物を収容し、この組成物から少なくとも1種の化合物が抽出されることとなり、前記超臨界流体が前記化合物から抽出される少なくとも1種の化合物をさらに含む請求項1に記載の方法。
  12. 二酸化炭素を含む超臨界流体を収容した密閉圧力容器を準備するステップと、
    前記超臨界流体の密度より低い密度を有する第2の流体を、前記超臨界流体の圧力より大きな圧力で、前記容器へ添加するステップと、
    前記超臨界流体と前記第2の流体との間の界面を形成するステップであって、前記容器が前記超臨界流体の移動方向に長さ寸法を有し、前記界面が前記長さ寸法の約10%以下である厚さを有するステップと、
    前記界面を維持しながら前記第2の流体の圧力を用いて前記超臨界流体の少なくとも一部を前記容器から移動させるステップと
    を含む超臨界流体を圧力容器から移動させる方法。
  13. 前記界面が視認できる界面である請求項12に記載の方法。
  14. 前記界面が光学的に検出可能な界面である請求項12に記載の方法。
  15. 前記超臨界流体が少なくとも50重量%の二酸化炭素を含む請求項12に記載の方法。
  16. 前記第2の流体が超臨界流体を含む請求項12に記載の方法。
  17. 前記第2の流体が少なくとも50重量%のヘリウムを含む請求項12に記載の方法。
  18. 前記第2の流体が実質的にヘリウムからなる請求項12に記載の方法。
  19. 前記容器が洗浄されるべき物品を収容し、前記超臨界流体が洗浄剤をさらに含む請求項12に記載の方法。
  20. 前記容器がコーティングされるべき物品を収容し、前記超臨界流体がコーティング成分をさらに含む請求項12に記載の方法。
  21. 前記容器が重合用容器であり、前記超臨界流体がポリマーをさらに含む請求項12に記載の方法。
  22. 前記容器が組成物を収容し、この組成物から少なくとも1種の化合物が抽出されることとなり、前記超臨界流体が前記化合物から抽出される少なくとも1種の化合物をさらに含む請求項12に記載の方法。
JP2004568030A 2003-01-27 2003-12-08 マイクロエレクトロニクス及び他の工業プロセスにおける超臨界流体の移送方法 Pending JP2006513582A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44286703P 2003-01-27 2003-01-27
US10/448,474 US6905555B2 (en) 2001-02-15 2003-05-30 Methods for transferring supercritical fluids in microelectronic and other industrial processes
PCT/US2003/040155 WO2004070776A2 (en) 2003-01-27 2003-12-08 Methods for transferring supercritical fluids in microelectronic and other industrial processes

Publications (1)

Publication Number Publication Date
JP2006513582A true JP2006513582A (ja) 2006-04-20

Family

ID=32853325

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004568030A Pending JP2006513582A (ja) 2003-01-27 2003-12-08 マイクロエレクトロニクス及び他の工業プロセスにおける超臨界流体の移送方法

Country Status (6)

Country Link
US (1) US6905555B2 (ja)
EP (1) EP1590307A4 (ja)
JP (1) JP2006513582A (ja)
KR (1) KR20050097514A (ja)
AU (1) AU2003299658A1 (ja)
WO (1) WO2004070776A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011029455A (ja) * 2009-07-27 2011-02-10 Shibaura Mechatronics Corp 基板の処理装置及び処理方法
JP2021061399A (ja) * 2019-10-07 2021-04-15 セメス カンパニー,リミテッド 基板処理装置及び方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
JP2005510369A (ja) * 2001-11-02 2005-04-21 ポリ・システムズ・プロプライエタリー・リミテッド ネイルガン
US20040016450A1 (en) * 2002-01-25 2004-01-29 Bertram Ronald Thomas Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
CN1642665A (zh) * 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
EP1442802A1 (en) * 2003-01-28 2004-08-04 Linde Aktiengesellschaft Cleaning with liquid carbon dioxide
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
DE102004037902A1 (de) * 2004-08-05 2006-03-16 Robert Bosch Gmbh Verfahren zur Abscheidung einer Anti-Haftungsschicht
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20090062909A1 (en) * 2005-07-15 2009-03-05 Micell Technologies, Inc. Stent with polymer coating containing amorphous rapamycin
US8298565B2 (en) 2005-07-15 2012-10-30 Micell Technologies, Inc. Polymer coatings containing drug powder of controlled morphology
TWI291714B (en) * 2005-12-09 2007-12-21 Ind Tech Res Inst Supercritical fluid washing method and system thereof
US8530355B2 (en) 2005-12-23 2013-09-10 Infineon Technologies Ag Mixed orientation semiconductor device and method
ES2540059T3 (es) 2006-04-26 2015-07-08 Micell Technologies, Inc. Recubrimientos que contienen múltiples fármacos
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US8636767B2 (en) 2006-10-02 2014-01-28 Micell Technologies, Inc. Surgical sutures having increased strength
CN102886326A (zh) 2006-10-23 2013-01-23 米歇尔技术公司 用于在涂覆过程中为基底充电的保持器
JP5603598B2 (ja) 2007-01-08 2014-10-08 ミセル テクノロジーズ、インコーポレイテッド 生物分解層を有するステント
US11426494B2 (en) 2007-01-08 2022-08-30 MT Acquisition Holdings LLC Stents having biodegradable layers
US8142619B2 (en) * 2007-05-11 2012-03-27 Sdc Materials Inc. Shape of cone and air input annulus
WO2008148013A1 (en) * 2007-05-25 2008-12-04 Micell Technologies, Inc. Polymer films for medical device coating
KR100872873B1 (ko) * 2007-07-03 2008-12-10 세메스 주식회사 기판 제조용 초임계 유체 공급 장치
EP3360586B1 (en) 2008-04-17 2024-03-06 Micell Technologies, Inc. Stents having bioabsorbable layers
WO2011009096A1 (en) 2009-07-16 2011-01-20 Micell Technologies, Inc. Drug delivery medical device
CA2946195A1 (en) 2008-07-17 2010-01-21 Micell Technologies, Inc. Drug delivery medical device
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US8834913B2 (en) 2008-12-26 2014-09-16 Battelle Memorial Institute Medical implants and methods of making medical implants
US9620410B1 (en) * 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
WO2010111232A2 (en) * 2009-03-23 2010-09-30 Micell Technologies, Inc. Drug delivery medical device
WO2010111238A2 (en) * 2009-03-23 2010-09-30 Micell Technologies, Inc. Improved biodegradable polymers
CN102481195B (zh) 2009-04-01 2015-03-25 米歇尔技术公司 涂覆支架
WO2010121187A2 (en) 2009-04-17 2010-10-21 Micell Techologies, Inc. Stents having controlled elution
US20110117752A1 (en) * 2009-11-18 2011-05-19 Kwon Taek Lim Method and system for etching a silicon dioxide film using densified carbon dioxide
US11369498B2 (en) 2010-02-02 2022-06-28 MT Acquisition Holdings LLC Stent and stent delivery system with improved deliverability
US8795762B2 (en) 2010-03-26 2014-08-05 Battelle Memorial Institute System and method for enhanced electrostatic deposition and surface coatings
WO2011133655A1 (en) 2010-04-22 2011-10-27 Micell Technologies, Inc. Stents and other devices having extracellular matrix coating
GB2495256B (en) * 2010-06-25 2014-07-23 Anastasios J Tousimis Integrated processing and critical point drying systems for semiconductor and mems devices
EP2593039B1 (en) 2010-07-16 2022-11-30 Micell Technologies, Inc. Drug delivery medical device
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) * 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
WO2012166819A1 (en) 2011-05-31 2012-12-06 Micell Technologies, Inc. System and process for formation of a time-released, drug-eluting transferable coating
WO2013012689A1 (en) 2011-07-15 2013-01-24 Micell Technologies, Inc. Drug delivery medical device
US10188772B2 (en) 2011-10-18 2019-01-29 Micell Technologies, Inc. Drug delivery medical device
JP6326041B2 (ja) * 2012-04-17 2018-05-16 プラクスエア・テクノロジー・インコーポレイテッド 二酸化炭素の精製された多相のプロセスツールへのデリバリーシステム
US9604153B1 (en) * 2012-07-31 2017-03-28 Quinlan Properties, LLC Separation systems and methods of using them
EP2967803B1 (en) 2013-03-12 2023-12-27 Micell Technologies, Inc. Bioabsorbable biomedical implants
US10272606B2 (en) 2013-05-15 2019-04-30 Micell Technologies, Inc. Bioabsorbable biomedical implants
WO2016007874A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
ES2953408T3 (es) 2015-12-23 2023-11-10 Novolabs Ltd Método y aparato para tratar un líquido
CN110663101B (zh) * 2017-05-24 2023-08-18 东京毅力科创株式会社 基板处理装置和基板处理方法
KR102134261B1 (ko) * 2018-10-25 2020-07-16 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7394563B2 (ja) * 2019-09-12 2023-12-08 東京エレクトロン株式会社 基板処理装置の洗浄方法及び基板処理システム
MX2022007290A (es) * 2019-12-17 2022-09-19 Covalon Tech Ltd Reactores para dispositivos de recubrimiento y sistemas y metodos relacionados.
US11640115B2 (en) * 2020-09-04 2023-05-02 Samsung Electronics Co., Ltd. Substrate processing apparatus, semiconductor manufacturing equipment, and substrate processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626909A (ja) * 1992-07-10 1994-02-04 Japan Tobacco Inc 圧力容器における接触界面位置調節方法および装置
JPH08252549A (ja) * 1995-01-26 1996-10-01 Texas Instr Inc <Ti> 基板から汚染物を取り除く方法
JP2000154172A (ja) * 1998-11-20 2000-06-06 Nippon Polyurethane Ind Co Ltd 多官能ポリイソシアネート組成物の製造方法
WO2002066176A1 (en) * 2001-02-15 2002-08-29 Micell Technologies, Inc. Methods for cleaning microelectronic structures
JP2002367943A (ja) * 2001-06-12 2002-12-20 Kobe Steel Ltd 高圧処理方法および高圧処理装置
JP2005515619A (ja) * 2002-01-07 2005-05-26 プラクスエア・テクノロジー・インコーポレイテッド 物品を洗浄するための方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4980264A (en) 1985-12-17 1990-12-25 International Business Machines Corporation Photoresist compositions of controlled dissolution rate in alkaline developers
US5071730A (en) 1990-04-24 1991-12-10 International Business Machines Corporation Liquid apply, aqueous processable photoresist compositions
US5370742A (en) * 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JPH06324494A (ja) 1993-05-12 1994-11-25 Fujitsu Ltd パターン形成材料およびパターン形成方法
US5866304A (en) 1993-12-28 1999-02-02 Nec Corporation Photosensitive resin and method for patterning by use of the same
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6200352B1 (en) 1997-08-27 2001-03-13 Micell Technologies, Inc. Dry cleaning methods and compositions
CA2302527A1 (en) 1997-08-29 1999-03-04 James P. Deyoung End functionalized polysiloxane surfactants in carbon dioxide formulations
US6001418A (en) 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6120613A (en) 1998-04-30 2000-09-19 Micell Technologies, Inc. Carbon dioxide cleaning and separation systems
US6200943B1 (en) 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
EP1230008A1 (en) * 1999-10-21 2002-08-14 Aspen Systems, Inc. Rapid aerogel production process
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626909A (ja) * 1992-07-10 1994-02-04 Japan Tobacco Inc 圧力容器における接触界面位置調節方法および装置
JPH08252549A (ja) * 1995-01-26 1996-10-01 Texas Instr Inc <Ti> 基板から汚染物を取り除く方法
JP2000154172A (ja) * 1998-11-20 2000-06-06 Nippon Polyurethane Ind Co Ltd 多官能ポリイソシアネート組成物の製造方法
WO2002066176A1 (en) * 2001-02-15 2002-08-29 Micell Technologies, Inc. Methods for cleaning microelectronic structures
JP2002367943A (ja) * 2001-06-12 2002-12-20 Kobe Steel Ltd 高圧処理方法および高圧処理装置
JP2005515619A (ja) * 2002-01-07 2005-05-26 プラクスエア・テクノロジー・インコーポレイテッド 物品を洗浄するための方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011029455A (ja) * 2009-07-27 2011-02-10 Shibaura Mechatronics Corp 基板の処理装置及び処理方法
JP2021061399A (ja) * 2019-10-07 2021-04-15 セメス カンパニー,リミテッド 基板処理装置及び方法
JP7287930B2 (ja) 2019-10-07 2023-06-06 セメス カンパニー,リミテッド 基板処理装置及び方法
US11942337B2 (en) 2019-10-07 2024-03-26 Semes Co., Ltd. Apparatus and method for treating substrate

Also Published As

Publication number Publication date
KR20050097514A (ko) 2005-10-07
US6905555B2 (en) 2005-06-14
WO2004070776A3 (en) 2005-01-20
WO2004070776A2 (en) 2004-08-19
US20040020518A1 (en) 2004-02-05
EP1590307A4 (en) 2010-09-22
AU2003299658A8 (en) 2004-08-30
AU2003299658A1 (en) 2004-08-30
EP1590307A2 (en) 2005-11-02

Similar Documents

Publication Publication Date Title
JP2006513582A (ja) マイクロエレクトロニクス及び他の工業プロセスにおける超臨界流体の移送方法
US6596093B2 (en) Methods for cleaning microelectronic structures with cyclical phase modulation
US6602351B2 (en) Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6613157B2 (en) Methods for removing particles from microelectronic structures
US6562146B1 (en) Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6641678B2 (en) Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
KR101269783B1 (ko) 반도체 웨이퍼로부터의 물질 제거 방법 및 이를 수행하기위한 장치
JP2004527110A (ja) マイクロエレクトロニック構造体を洗浄する方法
TWI221007B (en) Processing of semiconductor components with dense processing fluids and ultrasonic energy
Jones et al. Applications of “dry” processing in the microelectronics industry using carbon dioxide
KR20050061381A (ko) 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법
WO2003049167A1 (fr) Procede de traitement haute pression
US7211553B2 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
Keagy et al. Cleaning of patterned porous low-k dielectrics with water, carbon dioxide and ambidextrous surfactants
US7282099B2 (en) Dense phase processing fluids for microelectronic component manufacture
TWI261290B (en) Removal of contaminants using supercritical processing
Zhang et al. Cleaning using CO2‐based solvents
EP1505146A1 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
US20040139987A1 (en) Method for releasing and drying moveable elements of micro-electronic mechanical structures with organic thin film sacrificial layers
Zhang et al. Supercritical CO2-based solvents in next generation microelectronics processing
US6786977B2 (en) Gas-expanded liquids, methods of use thereof, and systems using gas-expanded liquids for cleaning integrated circuits
JP2004088095A (ja) 洗浄方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090731

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091030

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091109

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100330