KR20040094821A - 다중챔버 기판 처리 시스템에서 수행된 통합 인-시츄 에칭공정 - Google Patents

다중챔버 기판 처리 시스템에서 수행된 통합 인-시츄 에칭공정 Download PDF

Info

Publication number
KR20040094821A
KR20040094821A KR10-2004-7014758A KR20047014758A KR20040094821A KR 20040094821 A KR20040094821 A KR 20040094821A KR 20047014758 A KR20047014758 A KR 20047014758A KR 20040094821 A KR20040094821 A KR 20040094821A
Authority
KR
South Korea
Prior art keywords
chamber
etching
layer
substrate
dielectric layer
Prior art date
Application number
KR10-2004-7014758A
Other languages
English (en)
Inventor
시에창-린
마다이아나샤오빙
시에브라이언시위안
인제럴드제야오
선제니퍼
타치센
뤄리
비요크맨클레이스에이치
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040094821A publication Critical patent/KR20040094821A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Abstract

통합 인 시츄 에칭 공정이 제 1 및 제 2 에칭 챔버를 갖는 다중챔버 기판 처리 시스템에서 수행된다. 일 실시형태에서, 제 1 챔버는 최소한 100 Ra로 거칠게 된 내부 표면을 포함하고 제 2 챔버는 약 32 Ra 보다 작은 조도를 갖는 내부 표면을 포함한다. 공정은 접촉될 기판의 패턴화된 포토레지스트 마스크, 유전체층, 배리어층 및 형상이 하향 방향으로 형성된 기판을 챔버의 거친 내부 표면상에 폴리머 형성을 촉진하는 공정에서 유전체층이 에칭되는 제 1 챔버로 이송하는 단계를 포함한다. 그 후, 기판은 진공 상태 하에서 제 1 챔버로부터 제 2 챔버로 이송되고, 제 2 챔버에서, 기판상에 적층된 포토레지스트 마스크를 박리하기 위해 산소와 같은 반응성 플라즈마에 노출된다. 포토레지스트 마스크가 박리된 이후에, 배리어층이 제 2 챔버의 비교적 부드러운 내부 표면상에 폴리머 형성을 억제하는 공정을 사용하여 다중챔버 기판 처리 시스템의 제 2 챔버에서 접촉될 형상을 통해 에칭된다. 모든 3개의 에칭 단계는 기판이 단계들 사이의 분위기에 노출되지 않도록 시스템 레벨 인 시츄 공정에서 수행된다. 어떤 실시형태에서, 제 1 챔버의 내부 표면은 100 내지 200 Ra의 조도를 갖고 다른 실시형태에서, 제 1 챔버의 내부 표면의 조도는 110 내지 160 Ra 사이이다.

Description

다중챔버 기판 처리 시스템에서 수행된 통합 인-시츄 에칭 공정{AN INTEGRATED IN-SITU ETCH PROCESS PERFORMED IN A MULTICHAMBER SUBSTRATE PROCESSING SYSTEM}
관련출원에 대한 상호참조
본 출원은 2000년 3월 29일에 출원한 미국 출원번호 제 09/538,443호의 일부계속출원이며, 미국 가출원번호 제 60/173,412호로부터 우선권을 주장한다. 또한, 본 출원은 2002년 3월 19일에 출원한 미국 가출원번호 제 60/365,962호의 우선권을 주장한다. 출원 제 09/538,443호, 제 60/173,412호 및 제 60,365,962호를 여기서 참조한다.
발명의 배경
본 발명은 반도체 집적회로의 제조에 이용되는 플라즈마 에칭 공정에 관한 것이다. 좀더 자세하게는, 본 발명은 레벨간 유전체층으로서 기능하는 층유전체 구조를 에칭하는 시스템 레벨 인-시츄 통합 공정에 관한 것이다.
반도체 집적회로를 제작하는 기술은 단일 집적회로칩상에 제작될 수 있는 트랜지스터, 캐패시터 및 다른 전자 디바이스의 수에서 계속 개선되고 있다. 증가하는 집적 레벨은 집적회로의 최소 형상크기를 감소함으로써 넓은 부분에서 달성되고 있다. 또한, 증가하는 집적 레벨은 결과적으로 집적회로를 구성하는 층의 수를 증가시켰다. 집적회로의 층의 수가 계속 증가함에 따라, 기능적인 층에대한 처리 단계수를 줄이는 것이 가능하도록 개선된 공정들이 개발되고 있다. 그러나, 이들 개선된 공정들은 종종 과도한 에칭 공정의 화학작용을 요구한다. 유전체 에칭은 가장 어려운 요구를 제시하였다.
과거에는, 집적회로에서 레벨간 유전체 재료를 위한 공통 재료는 유전체상수 (k) 가 약 3.9 ~ 4.2 인 도핑되지 않은 실리콘디옥사이드 (silicon dioxide) 였다. 근래에, 반도체 제조자들은 실리콘디옥사이드보다 낮은 유전체상수를 갖는, 레벨간 절연층용 재료들을 대신 사용해 왔다. 현재 공통적으로 사용되는 이러한 2개의 재료들은 k 값이 일반적으로 약 3.4 ~ 3.7 인 플루오르-도핑된 실리카 글래스 (FSG) 및 k 값이 약 2.5 ~ 3.1 인 카본-도핑된 실리카 글래스 (SiOC 필름) 를 포함한다.
실리콘디옥사이드보다 낮은 유전체상수를 갖는 금속간 유전체층으로의 이동과 동시에, 많은 반도체 제조자들은 다중레벨 상호접속 구조의 형성에 있어서 알루미늄보다 구리를 사용하고 있다. 구리는 정밀한 패턴으로 에칭하는 것은 어렵기 때문에, 이러한 상호접속의 형성을 위해 사용되는 종래의 적층/선택적 에칭 공정은 인기를 잃었다. 따라서, 이중 다마신 공정 (Dual Damascine Process) 이라고 부르는 공정은 구리 상호접속을 형성하기 위해 많은 반도체 제조자들에 의해 사용된다. 이중 다마신 공정에서, 하나 이상의 블랭킷 금속간 유전체층이 적층되고 그 후 층간 비아들 (interlayer vias) 과 상호접속 라인들을 정의하기 위해 패턴화되고 에칭된다. 구리 또는 또 다른 도체 재료는 그 후 정의된 패턴으로 새겨지고 어떤 과도한 도체재료는 CMP (chemical mechanical polishing) 와 같은평탄화 (planarization) 공정에서 구조의 상부로부터 제거된다.
일반적으로, 이러한 이중 다마신 공정에서 유전체층의 에칭은 2개의 개별적인 리소그래피 (lithography) 단계를 포함한다. 한 단계는 트렌치들과 또 다른 비아들을 정의한다. 하나의 특별한 종류의 이중 다마신 구조가, 등축단면도로 나타내어, 도 1에 도시된다.
도 1에 도시된 것과 같이, 기판 (10) 은 그 표면에 도전 형상부 (11) 를 포함한다. 기판 (10) 이 그것의 표면에 배선 (wiring) 레벨을 이미 포함하면, 도전 형상부 (11) 가 금속성이고 이중 다마신 금속화가 미리 형성될 수 있다. 2개의 금속 배선 레벨들 사이의 상호접속을 비아 (via) 라고 부른다. 종래에는, 금속화를 형성하는 금속은 알루미늄 및 그것의 합금들 또는 텅스텐이었지만, 많은 진보된 직접회로들이 이제 구리 금속화로 설계되고 있다. 또 다른 방법으로는, 도전 형상부 (11) 는 실리콘 기판 (10) 에서, 예를 들면 소스 또는 드레인과 같은 도핑영역일 수도 있다. 이 경우에, 실리콘층과 제 1 금속화층 사이의 상호접속을 컨택트라고 부른다. 본 발명의 방법 및 기법이 컨택트의 형성에 유익하도록 적용될 수도 있지만, 본 발명은 비아들, 특히 구리 비아들 및 기반 구리 라인들 (11) 의 형성에 특히 유용하다.
하부 중지층 (12;금속화에 적층될 때 종종 배리어층이라고 한다), 하부 유전체층 (14), 중간 중지층 (16), 및 상부 유전체층 (20) 은 기판 (10) 위로 적층되고 도전 형상부 (11) 를 포함한다. 중지층 (12,16) 은 상부 유전체층 (14,20) 의 수직 (vertical) 홀을 효과적으로 에칭하지만 중지층 (12,16) 상에서 중지하는 에칭 화학 작용이 사용 가능하도록 유전체층 (14,20) 의 조성과 상대적인 조성을 갖는다. 즉, 에칭은 중지층에서 유전체층을 선택적으로 에칭한다. 또 다른 방법으로 설명하면, 유전체 에칭은 중지 재료에 대해 선택적이다. 전술한 바와 같이, 더 많은 진보된 회로들이 카본-도핑된 실리카 글래스와 같이 실리콘디옥사이드보다 낮은 유전체 상수를 갖는 유전재료들로 구성된 2개의 유전체층 (14,20) 으로 설계되고 있다. 따라서, 여기서 설명한 다마신 구조의 구체적인 예는 본 발명의 양수인 Applied Materials 에 의해 개발된, SiOC 재료 (종종 SiCOH 재료라고 부른다)인 Black DiamondTM을 비아 및 트렌치 유전체층으로 사용한다. Black DiamondTM을 위한 2개의 일반적인 중지 또는 배리어 재료는 Applied Materials 에 의해 개발된 BlokTM또는 실리콘카바이드 (SiC) 와 같은 카본실리콘 (SiC 또는 SiCN) 이다. Black DiamondTM BlokTM은 각각 본 발명의 양수인인 Applied Materials 의 상표이다.
Black DiamondTM BlokTM은 단일한 리액터 (reactor) 또는 플라즈마-강화 화학 증착 (PECVD) 기법에 의한 동일한 다중챔버 시스템의 분리된 챔버에서 연속적인 단계로 성장될 수 있다. 예를 들면, Black DiamondTM는 공정 가스로서 TMS (trimethylsilane) 및 분자 산소 (molecular oxygen) 를 이용하는 PECVD를 이용하여 성장될 수 있다. BlokTM은 TMCTS (tetramethylcyclotetrasiloxane) 를 헬륨캐리어 가스의 존재하에서 실리카 전구체 (precursor) 로서 사용하여 동일한 리액터에서 성장될 수 있다. 실리콘질화물에 대하여 중지층 및/또는 배리어층으로서 BlokTM의 한가지 이점은 BlokTM이 질화실리콘보다 낮은 k 값을 갖고 있는 것이다. 이들 예는 제한이 없고 도시된 수직 구조 (vertical structure) 의 하나의 이점을 나타낸다.
도 1에 도시된 이중 다마신 에칭 구조는 전술한 수직구조에서 형성된다. 도 2는 도 1에 도시된 이중 다마신 구조를 에칭하기 위해 수행될 수 있는 하나의 처리 시퀀스를 도시하는 흐름도이다. 도 2에서 도시된 것과 같이, 모든 이중 다마신층들 (12;14;16;20) 이 수평방향으로 패턴화되지 않은 (horizontally unpatterned) 수직구조 (단계 74) 에서 성장한 후에, 제 1 포토레지스트층이 트렌치 유전체층 (20) 위로 적층되고 비아홀 (18) 에 대응하는 개구 (aperture) 와 패턴화된다 (단계 76). 그 후, 확장된 비아홀은 트렌치 유전체층 (20) 의 상부로부터 배리어층 (12) 의 상부로 매우 깊게 에칭해야 하는 다단계 에칭 공정을 이용하여 에칭된다. 이 단계는 매우 좁은 홀을 에칭할 수도 있다 (예컨대, 폭이 0.1~0.13μm 이고 에스펙트 (aspect) 비율이 컨택트 에칭에 대해서는 10:1 보다 크고 일반적인 상호레벨 이중 다마신 애플리케이션에 대해서는 4~6:1 사이인 홀). 이 다단계 에칭 공정 (단계 78) 이 요청되고 있으며 에칭 중지의 가능성을 반드시 고려해야 한다. (기반 실리콘 또는 BlokTM뿐만아니라 측벽들의 수직에 플루오르화탄소계 산화물 에칭하는 높은 선택도 (selectivity) 는 비산화물 표면 및 측벽들위에 적층하는 폴리머에 의존한다는 사실로부터 에칭 중지는 일어난다. 그러나, 에칭 화학물이 너무 과하게 되면, 너무 많은 폴리머 형성을 촉진하여, 폴리머가 측벽들을 연결하고 커지는 홀의 산화물 저면을 커버함으로써 더 이상의 에칭을 방지한다.) 이러한 다단계 에칭 공정의 초기 단계들은 공정의 마지막 단계가 기반 하부 BlokTM배리어층 (12) 에 대한 좋은 선택도를 요구하는 가운데 상부 트렌치 유전체층 및 상부 BlokTM에칭 중지층을 통해 에칭해야 한다.
다단계 에칭 (78) 의 완료시에, 제 1 포토레지스트층은 박리되고 새로운 포토레지스트층 (도 1에 미도시) 이 트렌치 유전체층 (30) 상부위에 적층되고 트렌치 (22) 의 영역으로 패턴화된다 (단계 80). 그 후, 트렌치 에칭 단계 (단계 82) 에서, 트렌치 유전체층 (20) 은 중앙 BlokTM중지층 (16) 에 에칭됨으로써 트렌치 (22) 를 형성한다. 트렌치 에칭 (82) 후에, 포토레지스트층은 트렌치 에칭 (82) 동안 생성되는 폴리머를 제거하는 처리에서 박리된다 (단계 84). 단계 84에서 포토레지스트를 벗기는 것을 종종 애싱 (ashing) 이라고 한다. 최종적으로, 비아홀 (18) 의 저면에 노출되어 있는 하부 BlokTM배리어층 (12) 이 제거되어 컨택트 또는 금속층 (11) 을 노출한다 (단계 86).
위의 프로세서의 결과는 일반적으로 원형의 비아홀 (18) 이 비아 유전체층 (14) 및 하부 BlokTM배리어층 (12) 을 통하여 에칭되어 기반 도전 형상부 (11) 에 도달한다는 것이다. 다수의 이러한 비아홀 (18) 들은 에칭되어 도전 형상부(11) 의 다른 것들에 도달한다. 트렌치 (22) 는 기판 (10) 의 표면을 따라 확장되고 트렌치 유전체층 (20) 및 중앙 BlokTM중지층 (16) 을 통하여 에칭된다.
이러한 이중 다마신 에칭 구조의 완료후에, 트렌치 (22) 및 비아들 (18) 은 구리와 같은 금속으로 채워진다. 트렌치 (22) 및 비아 (18) 에 구리를 채우기 위해 사용되는 하나의 흔한 기법은 전기도금 (electroplating) 이다. 또한, 구리는 물리적인 증착 (PVD) 공정, 화학적인 증착 (CVD) 및/또는 전기없는 (electroless) 도금을 이용하여 트렌치 및 비아 영역에 적층될 수 있다. 배리어층들은 대게 먼저 채워진 홀에 일치되도록 피복된다. 구리를 위한 일반적인 배리어는 Ta/TaN 을 포함한다. 금속은 트렌치 (22) 를 가득 채우고 상부 산화물층 (30) 의 평평한 표면 (30) 을 커버하는 두께로 적층된다. CMP 는 웨이퍼의 상면에 적용된다. CMP 는 상대적으로 연질의 노출된 금속을 제거하지만 상대적으로 경질의 유전체층 (20) 상에서 멈춘다. 결과는 트렌치 (22) 내의 수평적인 금속 상호접속 및 비아홀들 (18) 의 수직적인 금속 상호접속 (비아들) 이다.
당업자에 의해 이해될 수 있듯이, 전술한 이중 다마신 에칭 공정은 트렌치 유전체층을 통해 에칭하고, 포토레지스트를 박리시키고, 배리어층을 통해 에칭하기 위해 사용되는 단계들을 위하여 다른 에칭 화학물 및 에칭 능력을 요구한다 (단계 82, 84, 86). 에칭 장비를 기판상에 위치시키는 공정 및 장비 그 자체에서의 한계와 같은 서로 다른 요청 때문에, 많은 집적회로 제작 설비는 별개의 설비 또는 시스템을 제작시에 이용하여 전술된 산화물 에칭 시퀀스의 각 단계를 수행한다.기판들이 여러 설비들 사이의 세정 룸 (clean room) 내에서 이송되어야만 하므로 이러한 설비의 배치가 엑스 시츄 에칭 공정을 위해 준비된다. 진공 챔버들 사이에서 이송되는 동안에 공기 환경중에 웨이퍼가 노출되는 것은 결과적으로 부분적으로 처리된 집적회로의 금속 형상부의 부식을 일으킬 수도 있다. 잘 알려진 공기중에서의 부식에 대한 구리의 민감성은 파괴적인 위험을 증가시킨다. 또한, 시간이 경과후에 리액터 챔버의 내부에 형성되는 카본계 잔류물은 노출된 구리 표면에 재적층될 수 있다. 이러한 카본계 잔류물들을 구리에서 제거하기가 극히 어려우므로, 그들의 존재는 역으로 구리에 대한 전기 컨택트의 결과적인 형성에 영향을 줄 수 있다.
또한, 이러한 엑스 시츄 공정은 결과적으로 컨택트의 저면 또는 중지층 개방 단계 (86) 에서 쉽게 에칭되지 않는 비아 영역 (18) 에서 폴리머가 형성된다. 따라서, 단계 82,84,86 에 대해 엑스 시츄 공정을 이용하는 많은 집적회로 제조자들은, 포토레지스트 박리 단계 84 와 중지층 개방 단계 86 사이에서, 예를 들어 기판을 HF 용액에 침적하는 것에 의한 습식 용매 (wet solvent) 에칭을 수행한다.
본 발명의 양수인인 Applied Materials 의 엔지니어들이 단일 에칭 챔버에서 구현되고 에칭 공정 동안의 분위기에 기판을 노출하는 것과 관련된 문제점들을 제거할 수 있는 통합 유전체 에칭 공정을 개발하였다. 이러한 단일 챔버 에칭 공정의 한 버전은 위에서 언급한 미국 출원번호 제 09/201,590호에 개시되었다. 제 09/201,590호에 개시된 공정은 에칭결과 및 소유비용에 있어서 이전의 알려진 엑스 시츄 유전체 에칭 공정과 비교할 때 개선을 제공한다. 또한, 공정은 포토레지스트 박리 단계와 중지층 개방 단계 사이에서 습식 용매 침적을 요구하지 않고 이중 다마신 구조를 형성하기 위해 만족하게 이용될 수 있다.
이중 다마신 유전체 트렌치 및 비아 에칭 단계들은 미래의 진보된 집적회로의 제조에서 몇몇 주요 단계로 예상된다. 산업 소식통 (industry source) 은 이들 유전체 에칭 솔루션에 대한 시장이 가장 크지 않더라도, 설비 기판 처리 제조를 위한 가장 큰 시장중의 하나가 될 것을 예측하고 있다. 따라서, 상기 특허 출원에 기재된 인 시츄 유전체 에칭 솔루션에서의 일관 (all-in-one) 단일 챔버는 엑스 시추 공정에 비해 분명한 개선을 제공하고, 유전체 에칭 단계들을 수행하는 대체 방법들이 바람직하다.
본 발명의 요약
본 발명은 도 1에 도시된 단계 82,84,86 의 3 단계 트렌치 에칭 시퀀스 (유전체 에칭, 포토레지스트 박리, 중지층/배리어층 개방) 와 같은 유전체 에칭 공정을 수행하는 시스템 레벨 인 시츄 방법을 제공한다. 본 발명의 실시형태는 3 단계 에칭 시퀀스를 수행하기 위해 다중챔버 기판 처리 시스템에서의 2개의 서로 다른 챔버를 이용한다. 이 방법은 에칭 시퀀스의 여러 단계들 사이에서 기판을 외부로 노출하지 않도록 진공상태의 다중챔버 시스템의 2개의 챔버 사이에서 처리되도록 기판을 이송한다.
발명자들은 이러한 2개 챔버 인 시츄 접근이 이전에 알려진 엑스 시츄 시스템 또는 인 시츄 시스템의 단일 챔버에 비교할 때 소유비용을 감소시켰다는 것을 발견했다. 또한, 발명자들은 이러한 2개 챔버 인 시츄 접근이 유전체 에칭 단계에서 에칭되는 유전체 재료가 카본-도핑된 실리카 글래스 (SiOC) 필름, 플루오르-도핑된 실리카 글래스 (SiOF) 필름 또는 플루오르계 화학물로 에칭되는 다른 필름과 같은 실리콘 산화물 재료일 때 단일, 일관의 챔버 접근방식에 비교할때 개선된 에칭결과를 제공한다는 것을 발견했다.
일 실시형태에서 본 발명의 방법은 제 1 및 제 2 챔버를 갖는 다중챔버 기판 처리 시스템에서 수행되는 통합 에칭 공정이다. 이 공정은 제 1 챔버로 접촉될 패턴화된 포토레지스트 마스크, 유전체층, 배리어층 및 기판의 형상부를 하측 방향으로 상부에 형성한 기판을 이송하는 공정을 포함하며, 유전체층은 제 1 챔버의 내부 표면 상에 폴리머 형성을 증진하는 공정에서 에칭된다. 그 후, 기판은 진공상태에서 제 1 챔버에서 제 2 챔버로 이송되고, 제 2 챔버에서, 산소 플라즈마와 같은 리액티브 플라즈마에 노출되어, 기판 상에 적층된 포토레지스트 마스크를 박리시킨다. 포토레지스트 마스크가 박리된후, 배리어층은 제 2 챔버의 내부 표면상의 폴리머의 생성을 저감시키는 공정을 이용하여 다중챔버 기판 처리 시스템의 제 2 챔버에서 접촉될 형상부를 통해 에칭된다. 에칭의 모든 3개의 단계들은 기판이 단계들 사이에서 분위기에 노출되지 않도록 시스템 레벨 인 시츄 공정에서 수행된다. 어떤 특정한 실시형태에서, 제 1 챔버의 내부 표면은 표면상에서 폴리머 형성을 증진하기 위해 제 1 에칭 단계 동안 냉각되고, 제 2 챔버의 내부 표면의 온도는 폴리머 형성을 최소화하도록 제 2 에칭 단계 동안 제어된다.
또 다른 실시형태에서, 본 발명의 방법은 제 1 챔버가 제 1 조도를 갖는 내부 표면을 포함하고 제 2 챔버가 제 1 조도보다 작은 제 2 조도를 갖는 내부 표면을 포함하는 제 1 및 제 2 챔버들을 갖는 다중챔버 기판 처리 시스템에서 수행되는 통합 에칭 공정이다. 이 공정은 챔버에 접촉될 패턴화된 포토레지스트 마스크, 유전체층, 배리어층 및 기판의 형성부를 하측 방향으로 형성한 기판을 이송하는 단계를 포함하고, 챔버의 거친 내부 표면 상에 폴리머 형성을 증진하는 공정에서 유전체층이 에칭된다. 그 후, 기판은 진공상태에서 제 1 챔버에서 제 2 챔버로 이송되고, 제 2 챔버에서, 산소 플라즈마와 같은 반응 플라즈마에 노출되어, 기판 위에 적층된 포토레지스트 마스크를 박리시킨다. 포토레지스트 마스크가 박리된 후, 배리어층은 제 2 챔버의 상대적으로 연질의 내부 표면에서 폴리머의 생성을 저감시키는 공정을 이용하여 다중챔버 기판 처리 시스템의 제 2 챔버에서 접촉되는 형상부를 통해 에칭된다. 에칭의 모든 3개의 단계들은 단계들 사이에서 기판이 분위기에 노출되지 않도록 인 시츄 공정에서 수행된다. 어떤 실시형태에서, 제 1 챔버의 내부 표면은 100 에서 200 Ra사이의 조도를 갖고 또 다른 실시형태에서, 제 1 챔버의 내부 표면의 조도는 100 에서 140 Ra사이의 조도를 갖는다. 어떤 실시형태에서, 제 2 챔버의 내부 표면의 조도는 32 Ra이하이다.
어떤 실시형태에서, 제 1 챔버에서 에칭된 유전체층은 카본-도핑된 실리카 글래스이거나 플루오르실리케이트 (fluorsilicate) 글래스층이다. 또한, 어떤 설시형태에서, 중지층은 실리콘나이트라이드 또는 실리콘카바이드 (예를 들어, SiC 또는 SiCN) 층으로 구성된 그룹에서 선택된다. 본 발명의 또 다른 실시형태는 플루오르카본 (fluorocarbon) 가스로부터 형성된 플라즈마로 제 1 챔버에서 유전체층을 에칭한다.
본 발명의 이들 실시형태들과 다른 실시형태들 뿐만 아니라 그것의 이점 및 특징을 첨부한 도면과 아래의 텍스트와 함께 상세히 설명한다.
도면의 간단한 설명
도 1은 본 발명의 방법을 형성하기 위해 사용되는 이중 다마신 구조의 등축 단면도이다.
도 2는 도 1에서 도시된 이중 다마신 구조를 에칭하기 위해 수행될 수 있는 하나의 처리 시퀀스를 도시하는 흐름도이다.
도 3은 본 발명의 실시형태에 따른 유전체 에칭 시퀀스의 3개의 주요 단계들을 도시하는 흐름도이다.
도 4는 본 발명의 일 실시형태에 따른 다중챔버 기판 처리 시스템의 개략도이다.
도 5A-5C는 본 발명의 어떤 실시형태에서 도 4에 도시된 챔버 A 로서 사용될 수 있는 MERIE 챔버의 다양한 부분을 도시하는 개략도들이다.
도 6A-6C는 본 발명의 실시형태에 따라 에칭될 수 있는 여러 구조들의 단면도들이다.
도 7은 본 발명의 일 실시형태에 따른 유전체 에칭 단계의 주에칭부분에 대한 주요 처리 트랜드를 도시하는 차트이다.
도 8은 본 발명의 또 다른 실시형태에 따른 유전체 에칭 단계의 주에칭부분에 대한 주요 처리 트랜드를 도시하는 차트이다.
도 9A-9B는 이전에 알려진 올-인-원 챔버 공정에 따른 기법을 이용하여 기판에 에칭된 구조들의 단면을 나타내는 개략도들이다.
도 10A-10B는 본 발명의 실시형태에 따른 기법을 이용하여 기판에 에칭된 구조들의 단면을 나타내는 개략도들이다.
도 11은 본 발명의 또 다른 실시형태에 따른 다중챔버 기판 처리 시스템들의 개략도이다.
구체적인 실시형태의 설명
Ⅰ. 도입
도 3은 본 발명의 실시형태들에 따른 유전체 에칭 시퀀스의 초기 3 단계들을 나타내는 흐름도이다. 도 3에 도시된 것과 같이, 유전체 에칭 시퀀스는, 장래 집적회로를 생산하는 집적회로 제조자들에 의해 가장 흔한 단계들의 시퀀스중 하나로 기대되며, 유전체 에칭 단계 (90), 포토레지스트 박리 단계 (92) 및 중지층 개방 단계 (94) 를 포함한다. 단계 90,92 및 94는 표준 집적회로 제조 기법 및 단일 및 이중 다마신 공정에서 비아들의 형태로 사용된다.
반도체 산업에서 유전체 에칭 시퀀스의 중요성을 인지하여, 특허출원 제 09/538,443호의 발명자들은 공정을 수행하기 위해 사용된 여러 접근방식들에 대한 소유비용에 대한 분석을 하였다. 이 분석은 진공상태에서 챔버들간에 기판들을 이송하는 다중챔버 시스템에서 2개의 분리된 챔버를 사용한 시스템-레벨 인 시츄 접근이 다중챔버 엑스 시츄 접근이나 또는 단일, 일관의 챔버 접근방식보다 큰 소유비용의 이득을 나타내었다. 여기 사용된 것과 같이, "일관의" 챔버 접근방식은 인 시츄 공정의 단일 챔버에서 모두 수행되는 유전체 에칭, 포토레지스트 박리 및 중지층 개방 단계들을 말한다.
또한, 본 발명은 두 챔버 접근이 실리콘옥사이드 (FSG 및 카본-도핑된 실리카 글래스 필름들을 포함) 유전체 에칭 단계를 수행하는 제 1 챔버 및 포토레지스트 단계 및 중지층 개구 단계를 수행하는 제 1 챔버를 포함했을때 올-인-원 챔버 접근에 비교할때 중대한 성능 이득을 발견했다. 플루오르-함유 폴리머는 유전체 에칭 단계 (90) 중에 실리콘 옥사이드계 유전체 재료를 에칭하기 위해 사용되는 챔버의 벽의 내부 표면에 축적된다. 일관의 챔버 접근이 사용될 때, 발명자들은 뒤이은 산소 박리 과정중에 산소가 이 폴리머에서 플루오르를 반응하고 플루오르를 해제할 수 있으며, 교대로, 유전체층에서 바람직하지 않은 등방성의 에칭 효과를 갖는다는 사실을 발견했다. 이 등방성의 에칭 효과는 결과적으로 중대한 부피 축소를 포함하는 유전체층의 오버에칭 (overetching) 을 가져온다. 발명자들은 산소 박리 처리중 플루오르의 분리에 관한 바람직하지 않은 효과를 "플루오르 메모리 효과"라고 한다.
유전체 에칭 단계후 건조 세정 또는 유사한 공정을 이용하여 챔버벽으로부터 폴리머 재료를 제거함으로써 일관의 챔버 접근에서의 플루오르 메모리 효과를 제거하거나 감소하는 것이 가능하다. 이러한 기법은 툴 (tool) 의 소유비용 (COO) 을 증가시키지만, 이러한 제거단계가 툴의 처리량을 감소시킨다.
본 발명의 실시형태는 제 1 챔버에서 유전체 에칭 단계 및 분리된 챔버에서 포토레지스트 박리 및 중지층 개방을 수행함으로써 플루오르 메모리 효과를 제거하거나 감소시킨다. 본 발명의 실시형태는 제 1 챔버의 내부 표면의 폴리머 형성을 도모하는 모드 (여기서 종종 "deposition modeTM"이라고 함) 의 제 1 챔버에서 유전체 에칭 단계를 처리하고 제 2 챔버의 내부 표면의 폴리머 형성을 중지하는 모드 (여기서 종종 "clean modeTM"이라고 함) 의 제 2 챔버에서 포토레지스트 박리 (에칭 공정) 및 중지층 개방 단계들을 처리한다. 이러한 방법으로, 제 1 챔버의 벽에 축적된 플루오르 폴리머는 에칭 공정에 노출되지 않고 탁월한 에칭결과가 플루오르 메모리 효과의 역 효과없이 얻어질 수 있다. 또한, 각 유전체층 에칭 단계후 챔버벽을 청소하는 것이 불필요함에 따라 처리량이 감소되지 않는다. Deposition modeTM clean modeTM는 각각 본 발명의 양수인, Applied Materials 의 상표이다.
본 발명의 실시예들을 보다 잘 평가하고 이해하기 위하여, 도 4가 참조로서 도시되어 있으며, 이것은 본 발명의 하나의 실시예에 따른 다중챔버 시스템의 시스템-레벨의 간략도이다. 도 4의 다중챔버 시스템은 다른 타입의 다중챔버 시스템은 물론 Applied Materials에 의해 제조된 CenturaTM다중챔버에 플랫폼에 기초할 수 있다. 본 발명은 웨이퍼들이 하나의 챔버로부터 다른 챔버로 세정 룸의 주변에 노출됨이 없이 이송될 수 있는 한, 어떤 다중챔버 시스템에도 사용될 수 있음을 이해할 수 있다. 도 4는 유전체 (dielectric) 에칭 단계를 수행하기 위한 2개의 개별 에칭 챔버 (챔버들 A) 들과 포토레지스트 박리와 중지층 개방 단계들을수행하기 위한 2개의 챔버들 (챔버들 B) 포함하는 4개의 챔버 Centura 플랫폼을 도시하고 있다. 도 4에 도시된 챔버들의 포지션은 설명의 목적일 뿐임을 이해할 수 있다. 챔버들은 다중챔버 시스템내에서 다르게 포지션될 수 있으며, 다중챔버 시스템은 각각 적어도 하나의 챔버들 (A) 과 챔버들 (B) 이 존재하도록 하면서, 보다 적거나 많은 챔버들을 포함할 수 있다.
도 4에 도시된 다중챔버 시스템 (95) 과 같은 시스템내에서, 도 3에 도시된 유전체 에칭 시퀀스를 수행하기 위하여, 로드 락 (LL) 챔버들 중 하나를 통하여 기판이 다중챔버 기판 처리 시스템으로 이송된다. 그 후, 센트랄 로봇 (96) 은 기판을 LL 챔버로부터, 도 4의 챔버 (A) 중의 하나인, 유전체 에칭 시퀀스의 단계 (90) 를 수행하는 데 사용되는 기판 처리 챔버로 이송한다. 챔버 (A) 내에서의 공정이 완성된 이후에, 기판이 로봇 (96) 에 의하여 다음 챔버 (챔버 B) 로 이송되고, 여기서 포토레지스트 박리와 중지 또는 배리어 (barrier) 층 에칭 양자가 단계 (92, 94) 를 통하여 기판상에 수행된다. 통합 (intergrated) 유전체 에칭 시퀀스가 완성되면, 다중챔버 기판 처리 시스템 (95) 의 이송을 위하여 기판은 다시 LL 챔버중 하나로 이송된다.
당업자가 이해할 수 있는 바와 같이, 도 4에 도시된바와 같은 동일한 공정 단계를 수행하기 위하여, 기판 처리 시스템 (95) 이 다중 챔버들을 포함하는 경우에, 두개의 기판은 동일한 공정 단계를 평행하게 하기 위하여 그 시스템에 의한 처리를 겪게 된다. 따라서, 도 4의 실시예는, 2개의 다른 기판을 위하여 포토레지스트 층이 박리되거나 실리콘 질화물 (nitride) 층이 에칭되는 동안, 2개의 기판이 동시에 유전체 에칭 단계를 겪도록 허락한다.
도 3으로 다시 돌아가서, 챔버 (A) 내에서 에칭되는 유전체 물질이 실리콘 디옥사이드, FSG 또는 탄소-도핑된 실리카 유리와 같은 실리콘 산화 물질인 본 발명의 실시예에서, 에칭 단계 (90) 는 전형적으로 플루오르-함유 플라즈마로 그 층을 노출시키는 것을 포함한다. 그 플라즈마는 질소, 산소 및/또는 아르곤과 같은 다른 구성물질과 함께 하나 이상의 불화탄소 (flurocarbon) 전구체 (precursor) 를 포함하는 에천트 (etchant) 가스로부터 형성될 수 있다. 산소를 에천트 가스에 첨가하는 것은 잔류물 (residue) 제거를 돕고, 마이크로트렌칭 (microtrenching) 을 방지하지만, 포토레지스트로 선택도 (selectivity) 를 감소시킨다. 질소는 더 마일드 (milder) 한 비트를 제외하고는 산소와 유사한 효과를 가지며 반면, 아르곤을 공정에 첨가하는 것은 물리적 에칭 요소를 증가시키지만, 페시팅 (faceting) (쵸핑 (chopping) 을 통한 코너 (corner)) 을 증가시키거나 프로파일 이슈를 야기할 수 있다. 플루오르이온 및 산소이온을 제거 (scavenge) 하기 위하여, 일산화탄소 또한 어떤 실시예에 첨가될 수 있다. 유전체층이 탄소-도핑된 실리카 물질인 경우에, 단계 (90) 에서 사용될 수 있는 에천트 가스의 예는 CF4,N2,Ar; C4F6, O2, Ar; CHF3,N2,Ar; CF4,CH2F2,O2,N2을 포함한다.
어떤 실시예에서, 단계 (90) 에서 에칭된 유전체 물질은 다중플 층 및 스트라타 (strata) 를 포함한다. 그런 실시예에서, 유전체층의 다른 스트라타를 통하여 에칭하기 위해 다른 에천트 화학물질을 사용하는 단계 (90) 에서 다중단계 에칭 공정을 사용하는 것은 유용하다. 그러한 다중단계 에칭 공정이 유용한 일례는 비아 홀이 단계 (90) 에서 에칭되고, 트렌치 유전체 물질이 비아 유전체 물질과 다른 경우 또는 층 (16) 과 같은 중지층이 트렌치와 비아 층 사이에서 에칭되는 실시예 안에 있다. 다른 실시예는 오버라잉 (overlying) 하드 마스크 또는 반사방지 (antiflective) 층이 단계 (90) 에서 에칭되는 때, 또한 기반 (underlying) 유전체 물질을 포함한다. 또 다른 실시예에서, 첫째로, 주요 에칭 단계가 유전체 물질의 대다수를 에칭하고 다음으로 계속하여, 중지층 또는 배리어 (barrier) 층에서 중지하도록 높은 선택성을 가진 오버에칭 단계가 그 에칭 공정을 완성시키기 위해서 적용되는 경우에 다중단계 에칭 공정이 사용된다.
단계 (92) 에서 박리된 포토레지스트 물질이 실리콘 산화물 및 유사 물질을 패턴화하기 위해 통상 사용되는 유기 포토레지스트 물질인 어떤 실시예에서, 포토레지스트 박리 단계는 포토레지스트를 반응 (reactive) 산소 종 (species) (예를 들어 02로부터 형성된 플라즈마) 으로 또는 반응 질소 및 수소 종 (예를 들어 NH3로부터 형성된 플라즈마) 으로 노출시킨다.
어떤 실시예에서, 배리어 층이 실리콘 질화물 (SiN) 또는 실리콘 탄화물 (SiC) 중 하나인 단계 (94) 에서 에칭되는 배리어 층은 또한 질소를 포함할 수 있다 (SiCN). 유사한 에천트 물질이 이들 배리어 각각을 개방하기 위하여 사용될 수 있다. 전통적으로, 배리어 층 개방 단계 (94) 는 기반 구리 층의 스퍼터링 (sputtering) 을 피하기 위하여 낮은 파워 플라즈마를 채용한다. 일 실시예에서, 배리어 층 개방 단계는 불화탄소 및 질소로부터 형성된 플라즈마로 배리어 층을 노출시킨다. 단계 (94) 에서 사용될 에천트 가스의 특정 실시예는 CF4및 N2를 포함한다. 다른 실시예에서, 배리어 층 개방 단계는, 첫번째 단계는 층을 CF4및 N2에 노출시키고, 두번째 단계는 콘택 홀의 영역에 남아있을 수 있는 잔류물을 제거하기 위하여 그것을 브리프 O2포스트 에칭 (brief O2post etch) 플라즈마 처리로 노출시키는 두 단계의 공정이다. 또 다른 실시예에서는, 단계 (94) 에서 CHF3및 선택적으로 O2가 에천트 가스에 첨가되고, 에칭율과 에칭 선택도 (selectivity) 를 각각 증가시킨다. 일반적으로 높은 RF 파워, 압력, 및 CF4및 N2흐름은 중지층의 에칭율을 증가시킨다.
상기 에칭 및 박리 공정은 예시일 뿐이다. 본 발명은 어떤 특정한 유전체 에칭, 포토레지스트 박리 또는 중지층 개방 화학물질로 제한되지 않는다.
상기 언급한 바와 같이, 본 발명의 어떤 실시예는 챔버의 내부 표면상에 폴리머 형성을 장려하는 공정을 사용하여 유전체 에칭 단계 (90) 를 챔버 (A) 내에서 수행하고, 챔버의 내부 표면상에 폴리머 형성을 방해하는 공정을 사용하여 포토레지스트 박리와 중지층 에칭 단계 (92, 94) 를 챔버 (B) 내에서 수행한다. 이들 실시예에서, 양 챔버 (A,B) 는 기판 에칭동안 폴리머 형성을 처리하는 능력을 포함한다.
유전체 에칭 단계 동안 폴리머 형성을 처리하는 것은 정확하고, 이미 알려진다수의 에칭 공정에서 파티클-프리 (particle-free) 에칭을 얻기 위하여, 일반적이고도 중요한 기능이다. 당업자에게 알려진 바와 같이, 에칭되는 유전체층이 실리콘 산화물계 물질이면, 그 유전체 에칭 공정은 통상 불화탄소 가스 (예를 들어, CxFy또는 CxHyFz) 와 같은 플루오르함유 에천트 가스를 포함한다. 실리콘 산화물계 물질을 플루오르계 플라즈마로 에칭하는 것은 챔버의 내부 표면에 부착하는 경향을 가진 플루오르함유 폴리머를 생산한다.
에칭 챔버는 통상적으로, 형성된 입자는 후속 처리 중에 오염 문제를 야기시킬 수 있기 때문에, 챔버 벽에 폴리머의 형성을 최소화하거나 방해함으로써, 또는 입자 형성 및 플레이킹 (flaking) 없이 챔버 벽에의 폴리머 적층을 강요함으로써, 에칭 공정 동안 폴리머 형성 처리 능력을 가진다. 폴리머 형성은 에칭 공정의 가스 페이즈 화학물질을 포함하는 다수의 변수 (에천트 가스, 챔버 압력, 프라즈마 조건 및 에칭될 물질 등) 및 가스 페이즈로부터 챔버 벽으로의 물질의 접착 (adhesion) (다른 요소 중에서 벽의 라이너 (liner) 물질, 벽의 온도 및 벽의 표면 상태에 의존함) 에 의존하기 때문에, 폴리머 형성 처리는 복잡한 공정이다.
본 발명의 어떤 실시예에서 사용되는 에칭 챔버는, 폴리머 형성 처리를 돕기위하여 각각 다른 챔버의 벽 안에 온도 제어 시스템을 포함한다. 이러한 벽 온도 제어 시스템은 에틸렌 글리콜 (ethylene glycol) 혼합물과 같은 열 교환 유체를 챔버 벽이나 다른 적절한 수단을 통하여 순환시키는 유체 페시지 (fluid passage) 의 형태일 수 있다.
본 발명의 실시예는 deposition modeTM로서 언급된 것 안의 챔버를 작동함으로써, 유전체 에칭 챔버 (챔버 A) 의 벽 상에 폴리머 형성을 장려한다. 기본적으로, 이것은 챔버를 작동시키는 것을 필요로 하며, 주어진 에칭 화학물질에 대하여 폴리머 형성이 챔버 벽에 강요되어, 유전체 에칭 처리 동안, 플라즈마 및/또는 리액션 부산물에 노출된 챔버의 벽과 플라즈마 사이에 폴리머 필름이 항상 존재한다. 이것은 단계의 시작으로부터 단계의 끝을 포함하는 각각의 유전체 에칭 단계를 통하여, 플라즈마가 항상 챔버 벽에서 동일한 물질과 만나는 것을 확실하게 한다. 이들 실시예에서 벽들에 적층된 폴리머의 두께는 그것의 존재만큼 그렇게 중요한 것은 아니다.
이들 실시예에서, 생산 공정에서 웨이퍼를 에칭하기 위하여 유전체 에칭 챔버를 사용하기 이전에, 그 챔버의 내부 표면은 챔버 시즈닝 (seasoning) 단계 동안 플루오르함유 폴리머 물질로 코팅된다. 시즈닝 단계의 일례는 생산 웨이퍼에 마주하여 컨디셔닝 웨이퍼 (예를 들어, 배어 (bare) 실리콘 또는 블랭킷 (blanket) 포토레지스트 웨이퍼) 의 고정 숫자로 (예를 들어, 25) 표준 레서피 (standard recipe) 를 작동하는 (running) 것이다. 이 "컨디셔닝" 또는 "시즈닝" 타입은 생산 웨이퍼의 처리 이전, 챔버가 세정된 이후에 바로 행해진다. 시즈닝 레서피는 전통적으로 생산 레서피와 같은 가스 화학물질, 압력 및 파워를 사용한다. 이런 식으로 챔버가 시즈닝된 이후에, 생산 웨이퍼상에 유전체층 에칭 단계 (90) 를 수행할 준비가 된다. 만일 챔버가 시즈닝되지 않으면, 유전체 에칭 공정에서 에칭된 첫번째 웨이퍼는 최초로 청정한 챔버 벽 (폴리머 형성이 없는 벽) 을 보게 될 것이며 따라서, 후속의 웨이퍼들과 다른 에칭 결과를 가지게 될 것이다.
Deposition modeTM안에서의 챔버의 작동동안, 벽상의 폴리머 적층은 코팅 두께가 공정의 정상 동작을 방해할 만큼 충분하게 될 때까지 계속되거나, (폴리머가 절연 (insulating) 물질이고, 플라즈마에 노출된 폴리머의 표면이 더이상의 적층이 일어나지 않는 온도에 이르게 되는 경우에) 자기-제한적이 (self-limiting) 될 것이다. 그 전자 (former) 가 발생하는 경우에, 당업자에게 잘 알려진 바와 같이, 에칭 공정이 초과하는 폴리머 축적에 기인한 해로운 효과로 고생을 겪기 이전에, 챔버 벽으로부터 폴리머의 형성을 제거하기 위해 세정 (clean) 단계가 사용된다. 세정 단계 이후에, 추가적인 생산 웨이퍼를 처리하기 이전에 그 챔버는 다시 시즈닝된다. 일 실시예에서, 챔버 세정 단계는 매 100~200시간의 동작 이후에 챔버 (A) 상에 수행된다.
일 실시예에서, deposition modeTM은 가스 페이즈로부터 흡착되는 (adsorbed) 휘발성 종의 "스티킹 (sticking)" 을 개선하는 온도로 챔버 벽을 냉각시키는 (cooling) 것을 포함한다. 일 실시예에서, 이것은 챔버 벽을 15℃ 이하로 냉각시키는 것을 포함한다. 이 온도는 에칭 단계의 화학물질에 따라서 어떤 실시예에서는 변화할 수 있다. 추가적으로, 어떤 실시예에서, 챔버 (A) 의 내부 표면 (폴리머 형성이 일어나는 챔버의 내부 벽) 은 상대적으로 거칠 수 있다. 어떤 실시예에서, 폴리머 형성에 노출되는 챔버 벽의 내부 표면은 규격화된(machined) 알루미늄이나 알루미늄 합금이상으로 거칠어진다.
본 발명의 어떤 실시예에서, 알루미늄이나 알루미늄 합금이 될 수 있는 챔버 (A) 의 내부 표면은 약 100Ra~200Ra의 범위의 표면 조도를 가지며, 여기서, Ra(산술적인 조도 평균임) 은 측정된 프로파일 높이 편차의 절대값의 산술적인 평균이며, n이 라인 프로파일에 따른 높이 포지션의 숫자를 나타내며, zi는 포지션 i에서의 높이, z는 평균 높이를 나타내는 다음의 식에 의해 결정된다.
다른 실시예에서, 표면 조도는 약 110Ra~160Ra의 범위이다. 특별한 실시예에서, 챔버 (A) 의 내부 표면은 작은 완만한 언덕 (hill) 이나 골짜기 (valley) 를 닮은 토포그래프 (topograph) 를 가진 거친 알루미늄이나 알루미늄 합금을 가진다. 알루미늄의 등급에 따라, 그 골짜기 위의 언덕들의 측정된 평균 높이는 대략 16Φm; 그 언덕 사이의 측정된 평균 거리는 50Φm이다. 전통적으로 그 언덕들의 높이의 범위는 약 8~25Φm 이고, 하나의 언덕 중앙과 그에 인접한 언덕의 중앙 사이의 거리의 범위는 30~100Φm 이다.
그러한 거칠은 표면은, 처리되고 있는 반도체 기판의 표면상에서 부산물들이 재적층되는 것을 방지하면서, 반도체의 축적을 위하여 에칭 부산물과 같은 처리 부산물을 제공하는 언덕과 골짜기들 안에 포켓 (pocket) 을 제공한다. 어떤 실시예에서, 부산물의 적층의 부착을 보다 개선하고 챔버의 저항을 개선하기 위하여,보호 코팅 (라이너 물질) 이 그 거칠은 알루미늄이나 알루미늄 합금의 표면을 넘어 부식성의 에천트 플라즈마로 적용된다. 보호 코팅의 예는 애노딕 (anodic) 산화물, 플레임 스프레이-적층 알루미늄 산화물 (flame spray-deposited aluminum oxide), 및 도전성이거나 도전성이 아닌 것이 될 수 있는 다른 세라믹 코팅을 포함한다. 바람직하게는 보호 코팅은 폴리머 축적에 노출된 챔버 벽의 표면의 조도를 변화시키지 않는다.
챔버 (A) 의 내부 벽에 적합한 물질은 "Electrochemically Roughened Aluminum Semiconductor Processing Apparatus Surface"이란 명칭의, Jennifer Y. Sun, Clifford C. Stow, Senh Thach에 의한 2001년 7월 27일자 미국 특허 출원 09/918,683호에 기술되어 있다. 여기에 참조로서 포함된 09/918,683호 출원은 기판 처리 챔버의 알루미늄 표면을 전기화학적으로 거칠게 하는 방법을 기술하고 있다. 하나의 특정 실시예에서, 전기화학적으로 거칠게된 표면은 그후에 알루미늄 산화물로 덮혀져서, 내부 펴면은 100~140Ra사이의 조도를 가진다.
콘택에서, 폴리머 형성은 clean modeTM내의 두번째 챔버를 작동시킴으로써, 두번째 챔버내에서 방해된다. 기본적으로, 이는 주어진 에칭 화학물질에 대하여, 챔버를 작동하여, 챔버 벽이 본질적으로 에칭 처리전과 그 이후의 상태가 동일하도록, 즉 공정의 시작과 종료시에 챔버 벽상에 폴리머 적층이 없을 것을 필요로 한다. 이것은 또한 단계의 시작으로부터 단계의 종료를 포함하여 각각의 단계 (92, 94) 를 통하여, 포토레지스트 박리와 중지층 개방 플라즈마가 챔버 벽상에서항상 동일한 물질과 만나도록 할 것을 확실히 한다.
일반적으로, clean modeTM내에서 챔버를 작동하는 것은 챔버 벽상에 폴리머의 형성을 방해하는 방식으로 챔버를 작동시키는 것을 의미한다. 어떤 폴리머 형성은 예를 들어, 배리어 또는 중지층 개방 단계 (94) 동안 발생할 수 있다. 그러나, 어떤 경우에 clean modeTM내에서 챔버를 작동하는 것은 중지층 개방 단계가 중지층이 에칭된 이후에 건조 세정 공정을 수행하는 것을 포함하는 것을 의미할 수 있다. 유전체 에칭 단계와 비교하여, 중지층의 에칭 동안 매우 적은 폴리머 형성이 발생하기 때문에, 특히 챔버가 clean modeTM내에서 작동되는 경우에, 챔버 벽으로부터의 물질 등을 제거하기 위하여 사용되는 건조 세정 공정은 상대적으로 간략화될 수 있고, 생산량에 큰 타격을 주지 않는다.
일 실시예에서, 챔버 벽의 온도를 제어하여 가스 페이즈로부터 챔버 벽으로 흡수되는 휘발성 종의 "스티킹"을 촉진시키지 않도록 함으로써, 챔버는 clean modeTM동작 내에서 작동된다. 일 실시예에서, 벽의 온도는 약 15~60℃로 제어 된다. 이 온도는 포함된 화학물질에 따라서 어떤 실시예에서 변화할 수 있다. 어떤 특정 실시예에서, clean modeTM내의 챔버 벽의 온도는 deposition modeTM내의 벽의 온도 이상으로 유지된다.
게다가, 어떤 실시예에서, 폴리머 축적의 경향이 있는 챔버의 내부 표면은 챔버 (A) 벽의 표면보다 덜 거친, 상당히 매끄러운 표면을 가진다. 일 실시예에서, 가능한 폴리머 형성에 노출된 두번째 챔버의 내부 벽의 표면 조도는, 다른 실시예에서 내부 표면이 20-32Ra사이의 조도를 가지는데 비하여, 약 32Ra이하이다. 챔버 (B) 의 내부는 예를들어, 오버라잉 알루미늄 산화물 보호 필름에 의해 코팅된 매우 매끄러운 알루미늄 합금으로 만들어 질 수 있다. 특정 실시예에서, 알루미늄 합금은 LPTM합금 (LPTM은 본 발명의 양도인인, Applied Materials의 상표임) 이다.
LPTM합금은 다음의 중량% 구성: 마그네슘 농도 범위 3.5~4.0%, 실리콘 농도 범위 0~0.03%, 철농도 범위 0~0.03%, 구리 농도 범위 0.02~0.07%, 망간 농도 범위 0.005~0.015%, 주석 농도 범위 0.08~0.16%, 크롬 농도 범위 0.02~0.07%, 티탄 농도 범위 0~0.01%을 가지며, 다른 단독의 불순물이 0.03%를 넘지 않으며, 다른 총 불순물이 0.1%를 넘지 않는다. 게다가, LPTM합금은 특정 이동 불순물부터 형성된 미립자에 관하여, 특정한 상세 (specification) 를 만족할 것이 요구된다. 불순물 혼합의 미립자 덩어리의, 모든 입자의 적어도 95%는 사이즈가 5 Φm 미만이어야 한다. 입자의 5%는 사이즈가 5~20 Φm 범위 일 수 있다. 결국, 입자의 0.1% 미만이 20Φm 보다 크고, 어떤 입자도 40Φm 보다 크지 않다. 스트레스를 경감시키고, 경화시키고, 알루미늄 산화물로 합금을 코팅하기 위한 기술을 포함하여 LPTM합금에 대해 보다 자세한 것은, Yixing Lin, Brian T. West, Hong Wang, Shun J.Wu, Jennifer Y.Sun, Clifford S.Stow에 의한, "Halogen-Resistnat,Anodized Aluminum for Use in Semiconductor Processing Apparatus"이란 명칭의, 2002년 2월 8일 출원된 미국 특허 출원 10/071,869호에 개시되어 있다. 10/071,869호 출원은 여기에 참조로서 통합되었다.
II. 본 발명에서 사용가능한 예시적인 챔버의 간략한 설명
다중챔버 시스템에서 챔버 (A), 챔버 (B) 를 위하여 다양한 에칭 챔버가 사용될 수 있지만, 본 발명의 실시예를 보다 잘 설명하기 위하여 아래에 몇개의 챔버가 예시되었다. 예시적인 챔버는 단지 설명의 목적인 것이며, 본 발명은 특정한 챔버로 제한되지 않음을 이해하여야 한다.
1. 챔버 A
챔버 (A) 는 최소 형상 (feature) 사이즈 0.25㎛ 이하인 집적 회로에 대하여 유전체 에칭 공정을 만족할만하게 수행하는, 높은 성능의 에칭 챔버이다. 이것은 그러한 형상 사이즈의 임계 치수 (critical dimension) 를 유지하기 위하여, 예를 들어, 적어도 6:1의 에스펙트 비율 (aspect ratio) 을 가지고, 비아의 상부 (top) 와 하부 (bottom) 에 89°이상의 수직 (vertical) 프로파일 (profile) 을 가진 비아 에칭을 요구할 수 있다.
본 발명의 일 실시예에서, 도 4에 도시된 각각의 챔버 (A) 는 Applied Materials에 의해 제조된 MxP, MxP+, eMxP+, SuperE, eMax 챔버와 같이, 자기적으로 강화된 (enhanced) 반응 이온 에칭자 (etcher) (MERIE) 에칭 챔버가 될 수 있다. 대안적으로, 예를 들어 인덕티브 (inductive), 마이크로웨이브, 또는 ECR 기술을 사용하여 플라즈마가 형성되는 챔버를 포함하여 챔버의 벽에 폴리머 적층을강요하면서, 챔버 (A) 는 유전체 에칭 단계에 대하여 공정 성능 요구를 만족시킬 능력이 있는, 높은 성능의 다른 어떤 타입의 유전체 에칭 챔버가 될 수 있다.
도 5A 내지 도 5C에 예시적인 챔버 (A) 가 도시되어 있으며, 여기서 도 5A, 5B는 MERIE 챔버 (100) 의 간략화된 단면도이고, 도 5C는 MERIE 챔버 (100) 의 간략화된 개략도이다. 도 5A, 5B에서, 챔버 (100) 는 8각형 외부 벽 (104) 및 원형의 내부 벽 (106) 을 가진 하우징 (housing;102) 을 포함하며, 이것은 리액션 챔버 (108) 를 정의하는 예시적인 챔버 (B) 안의 벽의 동일한 형태의 것과 비교하여 상대적으로 거친 표면을 가진다. 그 시스템은 또한 가스 및 액체, 냉각된 페디스탈/캐소드 어셈블리 (pedestal/cathode assembly) (110) 및 웨이퍼 (기판) 교환 시스템 (112) 을 포함한다. 페디스탈 (110) 은 포토레지스트가 타는 것을 방지하기 위하여, 높은 바이어스 파워 에칭 공정 동안, 기판의 온도를 120℃ 이하로 유지하기 위하여 냉각될 수 있다. 웨이퍼 교환 시스템 (112) 은 기판 (116) 을 어셈블리 (110) 위에 위치시키고 (positioning) 에칭 이후에 챔버 (108) 로부터 기판 (116) 을 제거하는 것을 촉진하기 위하여 페디스탈 (110) 과 협력한다.
공정 가스는 가스 매니폴드 (manifold) (114) 에 의해 가스 공급 시스템 (130) 으로부터 챔버 (108) 내부로 공급된다. 가스 공급 시스템 (130) 은 공급 라인 (132) 과 도관 (conduit) (134) 을 통하여 매니폴드 (114) 및 챔버 (108) 와 커뮤니케이션 한다. 진공관이 챔버에 제공되며, 챔버를 5mTorr 이하의 압력 레벨로 내보내는 (evacuating) 능력의 높은 커패서티 진공 펌핑 시스템 (140) 에 연결되어 있는 소진 포트 (exhaust port) (138) 와 커뮤니케이션 하는 고리모양의(annular) 소진 채널 (136) 을 통하여 제공된 가스가 소진된다. 그 소진 흐름은 챔버 (108) 로부터 캐소드 어셈블리 (110) 의 상부 외면 (periphery) 주변에 마운트된 (mounted) 수평의 고리모양의 판 (144) 의 홀 (142) 을 통과한다. 판 (144) 은 플라즈마가 고리모양의 소진 챔버 (136) 로 침투 (penetration) 하는 것을 방해한다.
도관 (134) 은 불화탄소와 같은 리액턴트 가스를 인렛 (inlet) 으로부터 매니폴드 (114) 로 라우팅한다. 가스는 매니폴드로부터 (화살표 (148) 로 표시된바와 같이) 아래방향으로 나간다. RF 파워가 캐소드 어셈블리 (110) 에 가해지면, 에칭 플라즈마가 챔버 처리 영역 (150) 내에 형성된다. RF 파워 공급 시스템 (152) 은 플라즈마를 생성하기 위하여 RF 파워를 리액터 시스템 (100) 으로 보낸다. RF 파워 공급 시스템 (152) 은 에칭 공정 동안 이온 충돌을 촉진하기 위하여 높은 바이어스 RF 파워 레벨을 유지할 능력이 있다.
플라즈마를 제어하기 위하여, 리액터 시스템 (100) 은 또한, 8각형의 하우징 (104) 의 외부 벽에 교대로 하나씩인, 직사각형 어레이 내에 마운트된, 전통적으로 구리 코일을 포함하는 다수의 전자석 (118, 120, 122, 124) 을 포함한다. 그러한 인접한 코일은 현실적으로 서로 직교한다. 각각의 코일은 현실적으로 직사각형이며, 상부와 하부 포지션이 서로 반대이며, 좌우 포지션이 서로 반대이며, 다같이 코일의 직사각형 내에서 개구를 정의한다. 각각의 코일은 14 게이즈 (gauge) 의 절연된 구리선의 310회 턴 (turn) 으로 제조된다.
챔버의 외면 주변에 위치한 4개 코일의 어레이는 각 코일의 좌측 부분을 이웃한 코일의 우측 부분에 인접하게 위치시킨다. 코일들의 위, 아래 부분의 끝은 현실적으로 8각형 하우징의 모양을 매치시키기 위하여, 리액션 챔버쪽으로 안쪽방향으로 (약 45도로) 구부러져 있다.
도 5A, 도 5B에 도시된 리액터 시스템의 나머지 구성요소 부분은 도 4의 챔버 (A) 로서 사용될 수 있는 조작가능한 (operational) 리액터 시스템을 형성한다. 도 5C를 참조하면, 프로세서 (160) 는 파워 공급 시스템 (162) 에 의한 전자석에 인가될 전류를 제어하기 위하여 사용된다. 그런 전류 제어는 전자석에 인가되는 전류의 크기와 방향 (극성), 그 전자석에 의해 생성되는 자기장의 크기, 및 각각의 전자석에 의해 생성된 결합된 (combined) 자기장에 의해 형성된, 리절턴트 (resultant) 자기장 벡터를 조절한다.
각각의 코일은 독립적으로 제어 가능한 (controllable) DC 파워 공급기 (164, 166) 에 접속된다. 컴퓨터 (160) 는 각각의 파워 공급기에 의해 생성된 전류 크기를 제어한다. 그렇게 하여, 코일에 의해 생성된 리절턴트 자기장의 크기는 에칭율을 선택하고 기판의 이온 충돌을 변화시키기 위하여 변화될 수 있다. 전류 크기는 통상적으로 플라즈마 밀도의 제어된 증진을 생산하고 에칭율을 균일하게 하기 위하여 전류 크기 메뉴 또는 테이블로부터 선택될 수 있다. 응답에서, 컴퓨터는 적절한 명령 (command) 신호를 전류 크기 테이블로부터 검색 (retrieve) 한다. 그런 전류 크기 테이블은 컴퓨터 (160) 의 메모리내에 저장된다.
파워 공급 시스템 (162) 은 선택된 크기의 전류를 전자적의 코일에 인가시키며 도 5A, 도 5B의 리액터는 기판 또는 웨이퍼를 처리한다. 그러한 공정 동안,코일에 인가된 전류는 웨이퍼의 평면을 서서히 가로지르는 (transverse) 회전하는 (rotating) 자기장을 생산하며, 이는 웨이퍼의 표면에 대하여 플라즈마의 개선된 균일성 (uniformity) 을 야기한다. 고정된 방향 (orientation)의 영구 자석을 가지고 회전하는 턴 테이블을 사용하여 유사한 효과가 얻어질 수 있다.
챔버 (100) 의 어떤 실시형태는 기판 표면 반사된 플라즈마 방출을 검출 및 측정하는 (도 5A-5C에 도시 생략한) 통합 광학 센서 또는 간섭 툴과 함께 챔버의 상부상의 석영 또는 유사한 윈도우 (도시 생략) 를 포함한다. 통합 간섭 툴은 에칭 진행 특성 (예를 들어, 깊이 및 에칭율) 을 결정하기 위해 광파장 반사 및 간섭 현상을 이용한다. 어떤 실시형태에서, 유전체 필름이 에칭될 때 수직 또는 수직에서 벗어난 입사각에서 기록되는 간섭 신호 (즉, 줄무늬) 는 다이얼-인 타겟 깊이가 달성되면 에칭 완료를 트리거하기 위해 사용될 수 있다. 이러한 방식에서 트렌치 에칭 공정의 종료점 결정 (endpoint) 은 이중 다마신 구조로부터 중간 중지층을 제거하는 이점을 갖고, 이것은 처리를 단순화시키고 유전체 스택의 전체 k값을 감소시킨다. 다른 실시형태에서, 광학 센서는 공정이 감소된 산출의 가능성을 나타낼 수도 있는 어떤 소정의 영역의 외부로 드리프트하는지 결정하기 위해 에칭 공정을 모니터하는데 사용될 수 있고, 그러한 경우에 공정을 중지한다.
통상적으로, 간섭 기술은 블랭킷 필름 두께를 측정하기 위해 사용되었다. 그러나, 패턴화된 웨이퍼는 관찰된 신호에 기여하는 다중 에칭 프런트로서 디컨벌브하는 것이 어려운 간섭 신호를 생성한다. 에칭 동안의 일시적인 수직 반사 광 강도는 포토레지스트 마스크 표면과 노출된 유전체 표면을 에칭함으로써 유도되는 주파수 성분을 포함한다. 에칭 유전체 필름과 관련된 주파수 성분을 추출하고 그것의 신호 대 잡음비를 증폭하기 위해, 간섭 툴이 사용된다. 본 발명의 일 실시형태에서, 2개의 기술을 사용한다.
하나의 기술은 편광 효과를 사용한다. 편광되지 않은 수직 입사광은 유전체 표면으로부터 반사되고, 트렌치의 방향과 평행한 선형 편광 성분의 반사도는 트렌치에 수직인 것과 다르다. 이러한 차이점은 트렌치 폭이 검출을 위해 사용된 파장 보다 작을 때 더 현저하다. 동시에, 포토레지스트의 단조로운 영역으로부터의 편광된 성분의 반사도는 거의 동일하다. 따라서, 이들 2개의 신호의 비율은 포토레지스트의 단조로운 영역으로부터의 간섭 신호를 매우 감소시킬 수 있다.
제 2 기술은 자기 필드를 평균화하고 유전체 필름 신호로부터 소망하지 않는 포토레지스트 신호를 분리하기 위해 여러 실시간 필터를 이용하는 디지털 신호 프로세싱 (DSP) 을 포함한다. 실시간 신호는 포트레지스트 (oPR), 유전체 필름 (oOX), 및 자기 필드 조절 (omas) 각각으로부터 발생하는 3개의 주파수를 포함한다. 유전체 필름에 의한 신호는 먼저 자기 필드로부터의 원인을 제거하고 다음으로 포토레지스트로부터의 원인을 제거하는 필터링 이후의 나머지이다.
통합 레이트 모니터 (iRMTM) 라 칭하는 통합 레이트 광학 센서의 일 실시형태는 유전체로부터 나오는 신호를 분리하고 신호 대 잡음비를 강화하기 위해 전술한 기술 모두를 사용한다. 또한, 반사된 광은 SNR을 강화하기 위해 단조로운포토레지스트 디지털 신호 프로세싱으로부터의 기여분을 필터링 제거하기 위해 크로스-편광될 수도 있다. 모니터는 그것의 동작을 위해 외부 광원을 요구하지 않고, 대신에, 공정 챔버의 플라즈마로부터의 자연적으로 방출된 광을 사용한다.
챔버 A로서 사용될 수 있는MERIE 리액터의 또 다른 예로는, 웨이퍼의 평면을 횡단하는 회전 자기 필드가 처리 챔버에 대하여 기계적으로 회전하는 영구 자석의 어레이에 의해 공급되는, 일본의 Tokyo Electron에 의해 판매되는 DRM이 있다. 챔버 A 실시형태의 다른 예는 당업계에 널리 공지되어 있는 바와 같이, 상이한 챔버 전극으로의 다중의, 개별적으로 제어 가능한 전력 입력을 가질 수도 있고, 높은 전력 및 주파수에서 동작되는 전원을 또한 가질 수도 있고, 다중 주파수를 공급하는 고성능 RIE 리액터일 수 있다.
물론, 이들은 도 4에 도시한 챔버로서 사용될 수 있는 상이한 고성능 에칭 챔버의 여러 예이다. 고밀도 플라즈마 에칭 챔버가 다른 실시형태에서 사용될 수 있다. 본 발명에 따라 필요한 유전체 에칭 단계 (예를 들어, 실리콘 산화물족 재료) 를 수행할 수 있도록 고성능 에칭 챔버가 포함해야 하는 최소 특징 세트는 (1) 에칭 공정 동안 이온 충격을 촉진하고 비교적 높은 전력 레벨에서 바이어스 레벨을 유지하기 위해 웨이퍼를 바이어스하는 능력, (2) 50 mTorr의 압력 레벨 이하, 바람직하게는 최소한 5 mTorr의 압력 레벨로 공정하도록 챔버를 비울 수 있는 높은 용량 진공 펌프 시스템을 갖는 높은 펌핑 용량, (3) 낮은 온도 제어 페디스탈, 및 (4) 전술한 바와 같이 폴리머 형성을 관리하고 에칭 공정 동안 파티클 형성 또는 파편없이 챔버 벽에 폴리머 적층을 강제하는 능력을 포함한다.
통상적으로, 높은 용량 진공 시스템은 터보 분자 펌프 및 기계적 펌프를 구비하지만, 50 mTorr 이하의 압력 레벨이 챔버 내에서 정확하게 유지될 수 있게 하는 임의의 적절한 진공 시스템을 구비할 수 있다. 낮은 온도 제어 페디스탈은 포토레지스트의 "연소"를 방지하기 위해 에칭 공정 동안 120℃ 이하로 기판을 냉각할 수 있다. 이러한 온도 제어 능력은 공정 엔지니어가 기판 온도를 제어할 수 있게 하여서 포토레지스트에 대한 에칭 선택성을 향상시키고, 유전체 에칭 레이트를 증가시키고, 포토레지스트가 연소하는 것을 방지한다. 하나의 특정한 낮은 온도 제어 페디스탈은 당업자에게 공지되어 있는 바와 같은 냉각 장치에 의해 냉각되는 페디스탈을 통해 액체를 순환시킨다.
2. 챔버 B
도 4에 도시한 챔버 B는 단일 챔버에서 포토레지스트 박리 공정 및 실리콘 질화물 또는 다른 중지층 에칭 공정 모두를 수행하도록 설계된다. 일 실시형태에서, 챔버 B는 챔버 A에 의해 달성 가능한 동일한 레벨의 울트라 고성능을 요구하지 않지만, 다른 실시형태에서, 챔버 B는 가능한 폴리머 누적에 노출되는 내부 챔버 벽의 표면 조도인 주요 차이점을 갖는 챔버 A와 매우 유사하다.
어떤 실시형태에서, 챔버 B는 화학적 부식에 저항하는 석영, 세라믹 또는 유사한 재료로 이루어진 루프 (roof) 및/또는 내부 챔버 벽을 이용한다. 일 실시형태에서 상기 논의한 바와 같이, 반응 부산물에 노출된 챔버 B의 내부 벽은 LPTM합금과 같은 매우 부드러운 표면으로 이루어진다. 또한, 어떤 실시형태는 상기논의한 바와 같은 챔버 벽의 온도를 제어함으로써 폴리머 형성을 최소화하는 하드웨어를 포함한다.
포토레지스트 박리 및 중지층 개방 단계를 수행하기 위해, 챔버 B는 200 와트 이하의 비교적 낮은 바이어스 전력을 챔버가 최소한 유지할 수 있게 하는 고용량 진공 시스템 및 바이어스 시스템을 구비한다. 일 실시형태에서, 챔버 B용 플라즈마 생성 시스템은 코일 또는 루프탑 전극에 연결된 단일 소스 RF 전력 생성기 및 페디스탈에 연결된 낮은 바이어스 RF 전력 생성기를 구비한다. 낮은 바이어스 전력 생성기은 이중 다마신 구리 공정에서 기반 구리층에 과도한 스퍼터링을 초래하지 않고 실리콘 질화물 또는 다른 중지층을 통해 에칭하기 위해 필요하다. 어떤 실시형태에서, 챔버 B는 원격적으로 플라즈마를 생성하고 기판 에칭 챔버로 화학적으로 변형된 종 또는 기 (radical) 를 흐르게 하는 마이크로파, 토로이달 (toroidal) 또는 유도 전원과 같은 원격 전원에 의해 전력이 공급된다. 또한, 챔버 B는 인-시츄 플라즈마의 형성을 가능하게 하는 하드웨어 또는 각 포토레지스트 박리 및/또는 중지층 에칭 공정 이후에 플라즈마 건조 세정 단계를 가능하게 하기 위한 원격 플라즈마 형성 시스템을 구비한다.
일 실시형태에서, 챔버 B는 챔버 A에 관하여 설명한 바와 같은 MERIE 챔버일 수도 있다. 일 실시형태에서 챔버 B MERIE 챔버와 챔버 A MERIE 챔버 사이의 주요 차이점은 내부 챔버 A 벽(들) 의 표면이 챔버 B에서의 동일한 표면 보다 거칠다는 것이다. 전술한 바와 같이, 특정한 실시형태에서, 챔버 A MERIE 챔버의내부 벽은 챔버 B MERIE 챔버의 내부 벽이 32 Ra의 표면 조도를 갖는 비교적 부드러운 재료로 이루어질 수도 있으면서 내부 벽상에 폴리머 부산물 적층을 더 양호하게 수집하기 위해 100 Ra이상의 레벨로 거칠어질 수도 있다. 챔버 A 및 B 모두가 MERIE 챔버인 또 다른 실시형태에서 추가의 차이점은 유전체 에칭 공정의 종료점 결정하기 위해 플라즈마 방출을 검출 및 측정하는 광학 센서가 챔버 A에 통합되지만 챔버 B에는 광학 센서가 포함되지 않는다는 것이다.
다른 실시형태에서, 챔버 B는 높은 전력 RF 바이어스 시스템을 구비하지 않는다. 또한, 챔버 B의 어떤 실시형태는 챔버의 바닥 또는 측벽으로부터 정화 가스를 흐르게 함으로써 챔버의 외부 및 배출 스트림으로 파티클을 펌프하는 가스 흐름을 확립하는 가스 분배 시스템을 구비함으로써 챔버 벽상에서의 폴리머 형성을 더 방지한다.
Ⅲ. 발명의 예 및 실험 결과
이하, 발명의 개념을 더 예시하기 위해, 본 발명의 실시형태에 따른 에칭 공정의 다수의 예를 설명한다. 이하 설명하는 발명의 예는 Applied Materials에 의해 제조된 eMAX 챔버에서 휨 (bowing) 또는 k-값 시프트와 같은 악영향이 없고 임계 치수 손실없이 0.18 미크론 임계 치수를 갖는 집적 회로에 사용 가능한 하나의 다마신 또는 이중 다마신 구조를 성공적으로 패턴화하기 위해 사용될 수 있다. 예에서, 에칭 단계 90에 대한 공정은 적층 모드에서 동작되는 eMAX 챔버에서 구동되고 단계 92 및 이용되는 경우에 단계 94에 대한 공정은 세정 모드에서 동작되는eMAX 챔버에서 구동된다. 적층 모드에서 구동되는 eMAX 챔버는 120 ±20 Ra의 조도를 갖는 표준 알루미늄 산화물 챔버 라이너를 포함하지만 세정 모드에서 구동되는 eMAX 챔버는 상기 논의한 바와 같이 (32 Ra보다 작은) 매우 부드러운 표면을 제공하는 알루미늄 산화물로 코팅된 LP 라이너를 갖는다. 이러한 주요 차이점 이외에, 2개의 eMAX 챔버는 실질적으로 유사하다.
발명의 예 1
제 1 예는 단일 다마신 금속 1 트렌치 에칭 공정에 특히 유용하다. 어떤 애플리케이션에서, 추가의, 기반 금속층에 이중 다마신 접근방법을 사용하기 이전에 금속 1 층에 대해 단일 다마신 구조를 형성하는 것이 공통이다. 도 6A는 단계 90에서 에칭될 3개의 층 유전체 스택 (202) 을 갖는 기판 (200) 의 예를 도시한다. 유전체 스택 (205) 은 Black DiamondTM층상에 형성된 무기, 유전체 반사 방지 코팅 (DARC : 206) 상에 형성된, 유기, 바닥 반사 방지 코팅 (BARC : 204) 을 포함한다. 깊은 UV 포토레지스트 재료 (210) 는 유전체 스택상에 형성되고 BliKTM층 (212) 은 기반 기판으로부터 유전체 스택을 분리한다.
이하, 표 1은 유전체 재료를 에칭하고, 포토레지스트를 박리하고, 배리어층을 개방하기 위해 사용된 기본 단계 (단계 90, 92 및 94 각각) 를 나타낸다.
표 1
발명자들은 어떤 공정 조건을 변화시키는 영향을 결정하고 메인 유전체 에칭 단계에서 에천트 가스에 추가의 전구체 가스를 추가하기 위해 다수의 상이한 테스트를 수행하였다. 일반적으로, 높은 RF 전력은 에칭을 증가시켰고 마이크로하중을 향상시켰다. 그러나, 이것은 포토레지스트 선택도, 줄무늬 및 마이크로트랜칭에 악영향을 미친다. 아르곤 및/또는 카본 일산화물 추가는 마이크로트랜칭을 향상시켰지만 에칭 레이트를 감소시켰다. 더 높은 압력은 마이크로트랜칭을 감소시키고 에칭 레이트를 향상시키지만, 또한, 에칭된 프로파일을 휘게 한다. 벽 온도 및 이면 헬륨 온도는 에칭 균일성을 향상시키기 위해 최적화될 수 있다. 도 7은 상기 표 1에 설명한 유전체 단계의 메인 에칭부에 대한 주요 공정 경향을 나타내는 챠트이다. 또한, 유전체 에칭 단계의 오버 에칭부에서 O2및 Ar에 대해 N2및 CO를 치환하는 것은 이러한 오버 에칭 화학과 포토레지스트 사이의 높은 선택도로 인한 줄무늬를 발생시키지 않았지만 에칭딘 트렌치의 가늘어진 프로파일을 발생시켰다.
발명의 예 2
제 2 예는 도 6B에 도시한 기판 (220) 에서의 비아 (222) 를 에칭하기 위해 사용되는 것과 같은 비아 에칭 공정에 특히 유용하다. 기판 (220) 은 Black DiamondTM(228) 상에 형성된 DARC 층 (226) 상에 형성된 BARC 층 (224) 을 포함한다. 층 (228) 은 트렌치 및 비아 유전체층 모두로서 기능한다. 깊은 UV 포토레지스트 재료 (230) 는 유전체 스택상에 형성되고 BloKTM층 (232) 은 기반 기판으로부터 유전체 스택을 분리한다. 중간 중지층은 공정이 나중의 단계에서 트렌치 에칭의 종료점 결정하기 위해 iRM에 의존할 때 트렌치와 유전체층 사이에 포함되지 않는다.
이 예는 포토레지스트 및 바닥 BloKTM층에 매우 선택적인 유전체 에칭 단계 90로서 3개-단계 에칭 공정을 사용하여 기판 (220) 에서 비아를 에칭한다. 제 1 단계에서, BARC 층은 CF4플라즈마를 사용하여 에칭되고, 메인 에칭 단계는 CHF3및 N2플라즈마를 사용하고 최종 오버 에칭 단계는 C4F6, O2및 CO 플라즈마를 사용한다. 예 2에 사용된 유전체 단계 90의 더 상세한 설명이 아래의 표 2에 제공된다. 다음의 포토레지스트 박리 단계는 예 1에 설명한 바와 같이 실행된다.
표 2
또한, 발명자들은 어떤 공정 조건을 변화시키는 영향을 결정하고 예 2에 대한 메인 유전체 에칭 단계에서 에천트 가스에 추가의 전구체 가스를 추가하기 위해 다수의 상이한 테스트를 수행하였다. 도 8은 표 2에 설명한 유전체 단계의 메인 에칭부에 대한 주요 공정 경향을 나타내는 챠트이다.
발명의 예 3
제 3 예는 도 6C에 도시한 기판 (240) 을 에칭하기 위해 사용된 것과 같은 이중 다마신 트렌치 에칭 공정에 특히 유용하다. 기판 (240) 는 Black DianmondTM층 (244) 상에 형성된 DARC 층 (242) 을 포함한다. Black DiamondTM층 (244) 은 트렌치 및 비아 유전체층 모두로서 기능하고 중간 중지층을 포함하지 않는다. 깊은 UV 포토레지스트 재료 (246) 는 유전체 스택 상에 형성되고 BloKTM층 (248) 은 기반 기판으로부터 유전체 스택을 분리한다.
이 예에서, 트렌치는 유전체 에칭 단계 90로서 아래의 표 3에 나타낸 바와같은 2개의 단계 에칭 공정을 사용하여 기판 (240) 에 에칭될 수도 있다. 제 1 단계에서, DARC 층은 CF4플라즈마를 사용하여 에칭되지만, 메인 트렌치 에칭 단계는 CF4, N2및 Ar 플라즈마를 사용한다. 다음의 포토레지스트 박리 및 중지층 개방 단계 (92 및 94) 는 상기 표 1에 나타낸 것과 동일한 파라미터를 사용할 수도 있다.
표 3
유전체 에칭 단계에서 RF 전력을 증가시키는 것은 에칭 레이트를 증가시키고 마이크로하중을 향상시킨다. 그러나, 이것은 포토레지스트 선택도, 줄무늬 및 마이크로트랜칭에 악영향을 미친다. 더 높은 압력은 마이크로트랜칭, 에칭 레이트, 코너 패시팅 (faceting) 및 포토레지스트 선택도를 향상시키지만, 에칭된 프로파일을 휘게 한다. 높은 Ar 흐름은 마이크로하중을 향상시키지만, 일반적으로 N2의 부재는 휜 트렌치 프로파일 및 낮은 포토레지스트 선택도를 제공한다. 그러나, 높은 Ar 및/또는 N2흐름은 패시팅을 생성한다.
비교 테스트
본 발명의 유효성을 증명하기 위해, 이미 공지된 기술에 따라 단일 챔버에서 수행된 일관의인 3개의 에칭 공정에 본 발명에 따른 3개의 에칭 공정을 비교하는 테스트가 수행되었다. 이들 테스트는 BloKTM배리어층상에 차례로 형성된 Black DiamondTM상에 형성된 DARC 층을 포함하는 다층 유전체 스택에서 도 6에 도시한 바와 같은 이중 다마신 트렌치를 에칭하였다.
일관의인 챔버 접근방식을 사용한 테스트는 표준 라이너를 갖는 Applied Materials에 의해 제조된 eMAX 챔버를 사용하였다. 챔버는 단계 90 동안 적층 모드에서 구동되고 단계 92 및 94 동안 세정 모드에서 구동되었다. 일관의인 유전체 에칭 단계 및 포토레지스트 박리 단계에서 사용된 파라미터를 아래의 표 4에 설명한다. 표 4에서 명백한 바와 같이, 이러한 이전에 공지된 공정에 따른 유전체 에칭 단계는 2개-단계 에칭 공정을 포함하고, 제 1 단계는 DARC 층을 에칭하고 제 2 단계는 메인 유전체층을 에칭한다.
표 4
일관의 공정의 일부로서 구동할 때, 표 4에 나타낸 에칭 단계 및 포토레지스트 박리 공정은 임계 치수 손실 및 휨의 표시에 손상받는 트렌치를 생성하였다. SEM 포토그래프에서 알 수 있는 바와 같은 이들 트렌치의 횡단면도를 도 9A에 도시하였다. 트렌치는 기판의 중심과 종단 모두에서 유사한 프로파일을 나타내었다. 또한, 비아가 형성되는 영역에서, 일관의 공정은 기반 BloKTM배리어층을 통한 에칭이라도 비아를 오버에칭하였다. SEM 포토그래프에서 알 수 있는 바와 같은 이러한 오버 에칭 공정의 횡단면도를 도 9B에 도시하였다.
반대로, 상이한 조도 라이너를 갖는 분리 챔버가 사용되는 본 발명의 실시형태에 따라 실시된 테스트는 임계 치수가 정확하게 보존되는 트렌치 및 중지층상에 멈춘 비아를 생성하였다. 도 9A 및 9B에 도시한 것과 유사한 기판의 영역에서의 공정의 트렌치 및 비아 영역을 도 10A 및 10B에 각각 도시하였다. 아래의 표 5는 이 실험에서 사용된 파라미터를 설명한다. 표 5에 나타낸 바와 같이, 에칭 단계 90은 일관의 챔버 접근방식에서 행해질 때 분리 DARC 및 메인 에칭 단계를 포함한다.
표 5
Ⅳ. 본 발명의 추가의 실시형태
본 발명의 또 다른 실시형태에서, 기판상에 구리 배리어 및 시드 층을 적층하기 이전에 챔버 A 및 B에서 에칭된 형상의 임계 치수 (CD) 를 선택적으로 측정 및/또는 접촉 세정 단계를 수행하기 위해 추가의 챔버가 다중챔버 시스템에 추가된다. 도 11은 챔버 C 및 챔버 또는 툴 D를 더 포함하는 시스템 (98) 의 일 실시형태를 도시한다. 중요하게는, 기판은 세정룸 분위기에 노출되지 않고 하나 이상의 로보트 (99) 에 의해 챔버 A, B, C 및 D 사이에서 이송될 수 있다.
일 실시형태에서, 챔버 C는 에칭된 구조내에 형성된 폴리머 또는 다른 잔류물을 제거하기 위해 당업자에게 공지되어 있는 바와 같은 EKT 또는 물과 같은 용매의 증기에 기판을 노출시키는 습식 세정 챔버이다. 챔버 C는 기판에 도달한 이후에 용매를 증발시키기 위해 높은 증기압에서 용매를 스프레이하는 스프레잉/기화 노즐을 구비한다. 용매는 기판상에 스프레이되지만, 기판은 기판의 전체 표면을가로지르는 균일한 코팅을 달성하기 위해 스피닝 페디스탈상에 위치된다. 기판이 중앙 웨이퍼 이송 챔버를 통해 중앙 로버트에 의해 챔버 C 외부로 이송될 때, 액체 용매가 기판에 떨어지지 않고 웨이퍼 이송 영역을 오염시키지 않도록 용매가 증발하는 것이 중요하다.
다른 실시형태에서, 챔버 C는 인 시츄 건조 세정 공정와 같은 에천트 가스에 기판을 노출시키는 플라즈마 챔버이다. 또 다른 실시형태에서, 챔버 C는 가스 밀도 및 액체 용해도를 갖는 초임계 유체에 기판을 노출시킨다.
챔버 C 내로부터 폴리머 및/또는 다른 잔류물을 제거한 이후에, 기판은 에칭된 트렌치의 임계 치수를 측정하기 위해 챔버 또는 툴 D로 이송될 수도 있다. 본 발명의 실시형태들은 단계 94 이후의 에칭된 트렌치내에 점착될 수도 있는 폴리머 및 다른 물질이 챔버/툴 D에서 취해진 어떤 임계 치수 측정을 방해하기때문에 챔버/툴 D로 기판을 이송하기 이전에 챔버 C에서 잔류물 제거 단계를 수행한다.
일 실시형태에서, 챔버/툴 D는 Nanometrics에 의해 제조된 NanoSpec 9000 CD이다. NanoSpec 9000은 트렌치의 상부 및 하부 모두에서 CD를 측정하는 것을 포함하는 0.10 미크론 아래의 임계 치수 (CD) 를 측정할 수 있다. 이것은 높은 스루풋, CD 측정을 수행하는 낮은 비용 수단을 제공하는 회절된 광 시그니처를 사용한다. NanoSpec 9000은 특정한 라인에 대한 이전에 측정된 CD 결과의 라이브러리 - 프로파일 특성을 참조함으로써 실시간으로 CD 분석을 수행하기 위해 다중 파장 및 법선 입사각을 사용한다. 다른 실시형태는 에칭된 트렌치의 CD를 측정하기 위해 상이한 툴을 사용할 수도 있다.
본 발명의 어떤 실시형태는 시스템 98에서 공정된 모든 웨이퍼에 대해 CD 측정을 취한다. 다른 실시형태는 예를 들어, 2 내지 25의 범위일 수도 있는 X가 정수인 X 웨이퍼에 대해서만 측정을 취한다. 본 발명의 실시형태는 챔버 A 및 B에서 수행된 장래의 유전체 에칭 시퀀스에 피드백을 제공하기 위해 툴 D에서 취해진 CD 측정을 사용한다. 예를 들어, 툴 D가 CD 측정이 특정한 기판에 대해 제조자의 사양을 충족시키지 못한다는 것을 검출하는 경우에, 제어기는 사양 범위를 벗어난 편차에 대한 이유가 결정 및 지정될 수 있도록 시스템 (100) 을 폐쇄할 수도 있다. 이것은 제조자의 사양을 벗어난 CD와 에칭된 트렌치를 가져서 허용할 수 없는 낮은 산출을 갖는 다수의 연속하는 기판의 처리를 방지할 수도 있다.
본 발명의 적어도 하나의 실시형태를 완벽하게 설명함으로써, 본 발명을 실시하는 다른 등가물 또는 또 다른 방법이 당업자에게 명백할 것이다. 예를 들어, 본 발명을 비아-먼저 이중 다마신 에칭 공정와 관련하여 주로 설명하였지만, 본 발명은 주요 유전체층 및 에칭 중지층을 통해 에칭하고 포토레지스트 층 박리를 요구하는 임의의 유전체 에칭 공정에 적용할 수 있다. 따라서, 본 발명은 트렌치-먼저, 자체-정렬된 (예를 들어, 트렌치 및 비아 에칭이 동시에 행해질 수도 있도록 중간 중지층이 패턴화되는) 이중 경질 마스크 및 바이-층 레지스트 이중 다마신 에칭 공정 뿐만 아니라 단일 다마신 공정 및 비-다마신 공정에 적용할 수 있다. 또한, 본 발명의 예가 Black DiamondTMSiOC 층을 에칭하는 것을 포함하지만, 본 발명은 CoralTM필름 및 AuroraTM필름과 같은 다른 SiOC 층에 적용할 수 있다. 명백한 변경 및 변형에 따른 등가물 및 대안은 본 발명의 범위내에 포함된다.

Claims (52)

  1. 제 1 챔버 및 제 2 챔버를 가진 다중챔버 기판 처리시스템에서 수행되는 통합 에칭 방법으로서,
    패턴화된 포토레지스트 마스크, 유전체층 및 배리어층을 하측방향으로 상부에 형성한 기판을 상기 제 1 챔버 내부로 이송하는 단계;
    상기 패턴을 상기 유전체층으로 전사하기 위하여, 상기 제 1 챔버에서 상기 유전체층을 에칭하는 단계로서, 상기 제 1 챔버의 내표면상으로의 폴리머 형성을 증진하는 모드로 수행되는, 단계;
    상기 기판을 상기 제 1 챔버로부터 상기 제 2 챔버로 진공상태하에서 이송하는 단계; 및
    상기 제 2 챔버에서, 상기 기판을 분위기에 노출하기 이전에, 상기 포토레지스트 마스크를 박리한 후 상기 배리어층을 에칭하는 단계로서, 상기 제 2 챔버의 내표면상으로의 폴리머 형성을 저감하는 모드로 수행되는, 상기 배리어층의 에칭 단계를 포함하는, 통합 에칭방법.
  2. 제 1 항에 있어서,
    상기 제 1 챔버에서의 상기 유전체층의 에칭단계는 상기 제 1 챔버의 상기 내표면을 제 1 온도로 냉각하는 단계를 포함하는, 통합 에칭방법.
  3. 제 2 항에 있어서,
    상기 제 2 챔버에서의 상기 배리어층의 에칭단계는, 상기 제 2 챔버 표면상으로의 폴리머 형성을 최소화하기 위하여 상기 제 2 챔버 내표면의 온도를 제 2 온도로 제어하는 단계를 포함하는, 통합 에칭방법.
  4. 제 3 항에 있어서,
    상기 제 1 온도는 상기 제 2 온도 미만인, 통합 에칭방법.
  5. 제 1 항에 있어서,
    상기 유전체층은 카본도핑된 실리카 유리층 또는 플루오르실리케이트 유리 (FSG) 층인, 통합 에칭방법.
  6. 제 5 항에 있어서,
    상기 배리어층은 실리콘 질화물층 또는 실리콘 카바이드층으로 이루어진 그룹중에서 선택되는, 통합 에칭방법.
  7. 제 5 항에 있어서,
    상기 유전체층은, 플루오로카본 가스로부터 형성되는 플라즈마에 의해 상기 제 1 챔버에서 에칭되는, 통합 에칭방법.
  8. 제 7 항에 있어서,
    상기 플루오로카본 가스는 C4F6를 포함하는, 통합 에칭방법.
  9. 제 8 항에 있어서,
    상기 다중챔버 시스템은, 제 3 챔버, 및 상기 제 1 및 제 2 챔버에서 에칭되는 구조체의 임계 치수를 계측하는 기기를 더 구비하며,
    상기 방법은,
    상기 제 2 챔버에서 상기 중지층을 에칭한 후, 에칭된 배선 (wiring) 패턴을 세정하기 위하여 상기 기판을 제 3 챔버로 이송하는 단계; 및
    그 후, 상기 에칭된 구조와 연관된 임계 치수를 계측하기 위해 상기 기판을 상기 기기로 이송하는 단계를 포함하되,
    상기 기판은, 상기 제 1 챔버로 이송되고 상기 기기 내부로 이송되는 동안, 세정 룸 또는 다른 분위기에 노출되지 않는, 통합 에칭방법.
  10. 제 1 항에 있어서,
    상기 유전체층을 에칭하는 단계는, 상기 유전체을, C4F6를 함유하는 에천트 가스로부터 형성되는 플라즈마에 노출하는 단계를 포함하는, 통합 에칭방법.
  11. 제 10 항에 있어서,
    상기 배리어층은 실리콘 카바이드층인, 통합 에칭방법.
  12. 제 1 챔버 및 제 2 챔버를 가진 다중챔버 기판 처리시스템에서 수행되는 통합 에칭 방법으로서,
    한 패턴에 따라 패턴화된 포토레지스트 마스크, 유전체층 및 배리어층을 하측방향으로 상부에 형성한 기판을 상기 제 1 챔버로 이송하는 단계로서, 상기 제 1 챔버의 내표면이 제 1 표면 조도 (roughness) 를 갖는, 상기 이송 단계;
    상기 패턴을 상기 유전체층으로 전사하기 위하여, 상기 제 1 챔버에서 상기 유전체층을 에칭하는 단계로서, 상기 제 1 챔버의 내표면상으로의 폴리머 형성을 증진하는 모드로 수행되는, 상기 유전체층의 에칭 단계;
    상기 기판을 상기 제 1 챔버로부터 상기 제 2 챔버로 진공상태하에서 이송하는 단계로서, 상기 제 2 챔버의 내표면이 상기 제 1 표면 조도 미만인 제 2 표면조도를 갖는, 상기 이송 단계; 및
    상기 제 2 챔버에서, 상기 기판을 분위기에 노출하기 이전에, 상기 포토레지스트 마스크를 박리한 후 상기 배리어층을 에칭하는 단계로서, 상기 제 2 챔버의 내표면상으로의 폴리머 형성을 저감하는 모드로 수행되는, 상기 배리어층의 에칭 단계를 포함하는, 통합 에칭방법.
  13. 제 12 항에 있어서,
    상기 유전체층은 카본도핑된 실리카 유리층 또는 플루오르실리케이트 유리(FSG) 층인, 통합 에칭방법.
  14. 제 13 항에 있어서,
    상기 배리어층은 실리콘 질화물층 또는 실리콘 카바이드층으로 이루어진 그룹중에서 선택되는, 통합 에칭방법.
  15. 제 13 항에 있어서,
    상기 유전체층은 플루오로카본 가스로부터 형성되는 플라즈마에 의해 상기 제 1 챔버에서 에칭되는, 통합 에칭방법.
  16. 제 12 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 약 100 내지 200 Ra의 조도를 갖는, 통합 에칭방법.
  17. 제 12 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 기계 가공된 알루미늄의 조도 이상으로 조면화되어 있는, 통합 에칭방법.
  18. 제 16 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 100 내지 140 Ra의 조도를 갖는, 통합 에칭방법.
  19. 제 18 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 알루미늄 산화물 코팅을 포함하는, 통합 에칭방법.
  20. 제 12 항에 있어서,
    상기 제 2 챔버의 상기 내표면은 32 Ra미만의 조도를 갖는, 통합 에칭방법.
  21. 제 12 항에 있어서,
    상기 다중챔버 시스템은, 제 3 챔버, 및 상기 제 1 및 제 2 챔버에서 에칭되는 구조체의 임계 치수를 계측하는 기기를 더 구비하며,
    상기 방법은,
    상기 제 2 챔버에서 상기 중지층을 에칭한 후, 에칭된 배선 (wiring) 패턴을 세정하기 위하여 상기 기판을 제 3 챔버로 이송하는 단계; 및
    그 후, 상기 기판을, 상기 에칭된 구조와 연관된 임계 치수를 계측하기 위해 상기 기기로 이송하는 단계를 포함하되,
    상기 기판은, 상기 제 1 챔버로 이송되고 상기 기기로 이송되는 동안, 세정룸 또는 다른 분위기에 노출되지 않는, 통합 에칭방법.
  22. 제 12 항에 있어서,
    상기 유전체층을 에칭하는 단계는, 상기 유전체을, C4F6를 함유하는 에천트 가스로부터 형성된 플라즈마에 노출하는 단계를 포함하는, 통합 에칭방법.
  23. 제 22 항에 있어서,
    상기 배리어층은 실리콘 카바이드층인, 통합 에칭방법.
  24. 제 12 항에 있어서,
    상기 패턴은 배선 패턴인, 통합 에칭방법.
  25. 제 1 챔버 및 제 2 챔버를 가진 다중챔버 기판 처리시스템에서 수행되는 통합 트렌치 에칭 방법으로서,
    패턴화된 포토레지스트 마스크, 실리카유리 유전체층 및 배리어층을 하측방향으로 상부에 형성한 기판을 상기 제 1 챔버로 이송하는 단계로서, 상기 포토레지스트 마스크는 배선 패턴에 따라 패턴화되며 상기 제 1 챔버의 내표면은 적어도 100 Ra인 조도를 갖는, 상기 이송 단계;
    상기 배선 패턴을 상기 유전체층으로 전사하기 위하여, 상기 제 1 챔버에서상기 유전체층을 에칭하는 단계로서, 상기 제 1 챔버의 상기 조면화된 내표면상으로의 폴리머 형성을 증진하는 모드로 수행되는, 상기 유전체층의 에칭 단계;
    상기 기판을 상기 제 1 챔버로부터 상기 제 2 챔버로 진공상태하에서 이송하는 단계로서, 상기 제 2 챔버의 내표면은 상기 제 1 챔버의 상기 내표면의 조도 미만인 조도를 갖는, 상기 이송 단계; 및
    상기 제 2 챔버에서, 상기 기판을 분위기에 노출하기 이전에, 상기 포토레지스트 마스크를 박리한 후 상기 배리어층을 에칭하는 단계로서, 상기 제 2 챔버의 내표면상으로의 폴리머 형성을 저감하는 모드로 수행되는, 상기 배리어층의 에칭 단계를 포함하는, 통합 트렌치 에칭방법.
  26. 제 20 항에 있어서,
    상기 제 1 챔버에서의 상기 유전체층의 에칭단계는 상기 제 1 챔버의 상기 내표면을 냉각하는 단계를 포함하는, 통합 트렌치 에칭방법.
  27. 제 21 항에 있어서,
    상기 제 2 챔버에서의 상기 배리어층의 에칭단계는 상기 제 2 챔버 표면상으로의 폴리머형성을 최소화하기 위하여 상기 제 2 챔버 내표면의 온도를 제어하는 단계를 포함하는, 통합 트렌치 에칭방법.
  28. 제 25 항에 있어서,
    상기 제 2 챔버의 내표면의 조도는 32 Ra미만인, 통합 트렌치 에칭방법.
  29. 제 25 항에 있어서,
    상기 제 2 챔버의 내표면의 조도는 약 20 내지 32 Ra인, 통합 트렌치 에칭방법.
  30. 제 28 항에 있어서,
    상기 유전체층은 카본도핑된 실리카 유리층 또는 플루오르실리케이트 유리 (FSG) 층인, 통합 트렌치 에칭방법.
  31. 제 30 항에 있어서,
    상기 배리어층은 실리콘 질화물층 또는 실리콘 카바이드층으로 이루어진 그룹중에서 선택되는, 통합 트렌치 에칭방법.
  32. 제 30 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 100 내지 140 Ra인 조도를 갖는, 통합 트렌치 에칭방법.
  33. 제 1 챔버 및 제 2 챔버를 가진 다중챔버 기판 처리시스템에서 수행되는 통합 다마신 트렌치 에칭 방법으로서,
    패턴화된 포토레지스트 마스크, 카본도핑된 실리카유리 유전체층 및 중지 (stop) 층을 하측방향으로 상부에 형성한 기판을 상기 제 1 챔버로 이송하는 단계로서, 상기 포토레지스트 마스크는 배선 패턴에 따라 패턴화되며, 폴리머의 축적이 쉬운 상기 제 1 챔버 내표면은 적어도 100 Ra인 조도를 갖는, 상기 이송 단계;
    상기 배선 패턴을 상기 유전체층으로 전사하기 위하여, 상기 제 1 챔버에서 상기 유전체층을 에칭하는 단계로서, 상기 제 1 챔버의 상기 조면화된 내표면상으로의 폴리머 형성을 증진하는 모드로 수행되며, 상기 에칭 단계 동안 광학센서에 의해 검출된 간섭측정 (interferometric) 신호를 이용하여 종료점이 결정되는, 상기 유전체층의 에칭 단계;
    상기 기판을 상기 제 1 챔버로부터 상기 제 2 챔버로 진공상태하에서 이송하는 단계로서, 폴리머 축적이 쉬운 상기 제 2 챔버의 내표면은 상기 제 1 챔버 내표면의 조도 미만인 조도를 갖는, 상기 이송 단계; 및
    상기 제 2 챔버에서, 상기 기판을 분위기에 노출하기 이전에, 상기 포토레지스트 마스크를 박리한 후 상기 중지층을 에칭하는 단계로서, 상기 제 2 챔버의 내표면상으로의 폴리머 형성을 저감하는 모드로 수행되는, 상기 중지층의 에칭 단계를 포함하는, 통합 다마신 트렌치 에칭방법.
  34. 제 33 항에 있어서,
    상기 제 2 챔버의 내표면의 조도는 32 Ra미만인, 통합 다마신 트렌치 에칭방법.
  35. 제 34 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 100 내지 200 Ra인 조도를 갖는, 통합 다마신 트렌치 에칭방법.
  36. 제 34 항에 있어서,
    상기 제 1 챔버의 상기 내표면은 100 내지 140 Ra인 조도를 갖는, 통합 다마신 트렌치 에칭방법.
  37. 제 34 항에 있어서,
    상기 제 1 챔버와 제 2 챔버의 내표면은, 각각 알루미늄 벽에 걸쳐 형성되는 알루미늄 산화물 라이너 (liner) 인, 통합 다마신 트렌치 에칭방법.
  38. 제 33 항에 있어서,
    상기 중지층은 실리카 카바이드층이며,
    상기 유전체층을 에칭하는 단계는, 상기 유전체층을, 에칭단계의 말기에, C4F6을 함유하는 에천트 가스로부터 형성되는 플라즈마에 노출하는 단계를 포함하는, 통합 다마신 트렌치 에칭방법.
  39. 제 1 챔버 및 제 2 챔버를 가진 다중챔버 기판 처리시스템에서 수행되는 통합 에칭 방법으로서,
    패턴화된 포토레지스트 마스크, 유전체층 및 배리어층을 하측방향으로 상부에 형성한 기판을 상기 제 1 챔버로 이송하는 단계;
    상기 패턴을 상기 유전체층으로 전사하기 위하여, 상기 제 1 챔버에서 상기 유전체층을 에칭하는 단계로서, 상기 에칭 단계 동안에 상기 제 1 챔버의 상기 내표면상으로의 폴리머 형성을 증진하기 위하여 상기 제 1 챔버의 내표면이 제 1 온도로 냉각되는, 상기 유전체층의 에칭 단계;
    상기 기판을 상기 제 1 챔버로부터 상기 제 2 챔버로 진공상태하에서 이송하는 단계; 및
    상기 제 2 챔버에서, 상기 기판을 분위기에 노출하기 이전에, 상기 포토레지스트 마스크를 박리한 후 상기 배리어층을 에칭하는 단계로서, 상기 배리어층 에칭 동안에 상기 제 2 챔버의 상기 내표면상으로의 폴리머 형성을 저감하기 위하여 상기 제 2 챔버 내표면의 온도가 제 2 온도로 제어되는, 상기 배리어층의 에칭 단계를 포함하는, 통합 에칭방법.
  40. 제 39 항에 있어서,
    상기 제 1 온도는 상기 제 2 온도 미만인, 통합 에칭방법.
  41. 제 40 항에 있어서,
    상기 제 1 및 제 2 챔버의 상기 제 1 및 제 2 내표면 양자는 챔버벽의 내표면인, 통합 에칭방법.
  42. 제 41 항에 있어서,
    상기 제 1 온도는 상기 제 2 온도 미만인, 통합 에칭방법.
  43. 제 42 항에 있어서,
    상기 유전체층은 카본도핑된 실리카 유리층 또는 플루오르실리케이트 유리 (FSG) 층인, 통합 에칭방법.
  44. 제 42 항에 있어서,
    상기 배리어층은 실리콘 질화물층 또는 실리콘 카바이드층으로 이루어진 그룹중에서 선택되는, 통합 에칭방법.
  45. 제 44 항에 있어서,
    상기 유전체층은 플루오로카본 가스로부터 형성되는 플라즈마에 의해 상기 제 1 챔버에서 에칭되는, 통합 에칭방법.
  46. 제 45 항에 있어서,
    상기 플루오로카본 가스는 C4F6를 포함하는, 통합 에칭방법.
  47. 제 1 챔버의 플라즈마 처리영역을 적어도 부분적으로 한정하는 내벽을 갖는 제 1 플라즈마 에칭챔버로서, 상기 내벽의 표면이 제 1 표면조도를 갖는, 상기 제 1 플라즈마 에칭챔버;
    제 2 챔버의 플라즈마 처리영역을 적어도 부분적으로 한정하는 내벽을 갖는 제 2 플라즈마 에칭챔버로서, 상기 내벽의 표면이 상기 제 1 표면조도 미만인 제 2 표면조도를 갖는, 상기 제 2 플라즈마 에칭챔버;
    상기 제 1 및 제 2 플라즈마 에칭챔버에 연결된 기판이송챔버; 및
    상기 기판 이송챔버내에 안착되어, 기판들을 상기 제 1 및 제 2 플라즈마 에칭챔버로 이송하고 상기 제 1 및 제 2 플라즈마 에칭챔버로부터 인출하는 기판처리부재를 구비하는, 다중챔버 기판처리시스템.
  48. 제 47 항에 있어서,
    상기 제 1 내벽의 표면은 알루미늄 산화물 챔버 라이너이고, 상기 제 2 내벽의 표면도 또한 알루미늄 산화물 챔버 라이너인, 다중챔버 기판처리시스템.
  49. 제 47 항에 있어서,
    상기 제 2 표면 조도는 32 Ra미만인, 다중챔버 기판처리시스템.
  50. 제 47 항에 있어서,
    상기 제 1 표면 조도는 약 100 내지 200 Ra인, 다중챔버 기판처리시스템.
  51. 제 47 항에 있어서,
    상기 제 1 표면 조도는 100 내지 140 Ra인, 다중챔버 기판처리시스템.
  52. 제 47 항에 있어서,
    상기 제 2 표면 조도는 32 Ra미만이고, 상기 제 1 표면 조도는 100 내지 140 Ra인, 다중챔버 기판처리시스템.
KR10-2004-7014758A 2002-03-19 2003-03-10 다중챔버 기판 처리 시스템에서 수행된 통합 인-시츄 에칭공정 KR20040094821A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36596202P 2002-03-19 2002-03-19
US60/365,962 2002-03-19
US10/379,439 2003-03-03
US10/379,439 US6949203B2 (en) 1999-12-28 2003-03-03 System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
PCT/US2003/007485 WO2003081645A2 (en) 2002-03-19 2003-03-10 An integrated in-situ etch process performed in a multichamber substrate processing system

Publications (1)

Publication Number Publication Date
KR20040094821A true KR20040094821A (ko) 2004-11-10

Family

ID=28457124

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7014758A KR20040094821A (ko) 2002-03-19 2003-03-10 다중챔버 기판 처리 시스템에서 수행된 통합 인-시츄 에칭공정

Country Status (5)

Country Link
US (1) US6949203B2 (ko)
KR (1) KR20040094821A (ko)
CN (1) CN100418186C (ko)
TW (1) TW200305948A (ko)
WO (1) WO2003081645A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170073537A (ko) * 2015-12-18 2017-06-28 도쿄엘렉트론가부시키가이샤 광학 투영을 이용한 기판 튜닝 시스템 및 방법

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP2004014841A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US6993738B2 (en) * 2002-06-18 2006-01-31 Ip-First, Llc Method for allocating spare cells in auto-place-route blocks
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
JP4223348B2 (ja) * 2003-07-31 2009-02-12 Tdk株式会社 磁気記録媒体の製造方法及び製造装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
KR100606532B1 (ko) * 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20060034012A1 (en) * 2003-08-29 2006-02-16 Lam Terence T Self-aligned coil process in magnetic recording heads
JP4729884B2 (ja) * 2003-09-08 2011-07-20 東京エレクトロン株式会社 プラズマエッチング方法
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7094705B2 (en) * 2004-01-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-step plasma treatment method to improve CU interconnect electrical performance
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US6960535B1 (en) * 2004-05-14 2005-11-01 Sharp Kabushiki Kaisha Dual damascene etching process
TWI256083B (en) * 2004-06-02 2006-06-01 Lam Res Co Ltd Seasoning method for etch chamber
KR20050122427A (ko) * 2004-06-24 2005-12-29 동부아남반도체 주식회사 반도체 장치의 금속 배선 형성 방법
JP2006012332A (ja) * 2004-06-28 2006-01-12 Tdk Corp ドライエッチング方法、磁気記録媒体の製造方法及び磁気記録媒体
US7186650B1 (en) * 2004-08-02 2007-03-06 Advanced Micro Devices, Inc. Control of bottom dimension of tapered contact via variation(s) of etch process
US7192880B2 (en) * 2004-09-28 2007-03-20 Texas Instruments Incorporated Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
DE102004063264B4 (de) * 2004-12-29 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden elektrischer Verbindungen in einer Halbleiterstruktur
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070045230A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Methods for independently controlling one or more etching parameters in the manufacture of microfeature devices
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7560387B2 (en) * 2006-01-25 2009-07-14 International Business Machines Corporation Opening hard mask and SOI substrate in single process chamber
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
KR100875653B1 (ko) * 2006-06-30 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20080057725A1 (en) * 2006-08-30 2008-03-06 Sang-Il Hwang Method of manufacturing semiconductor device
KR100954116B1 (ko) 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
JP5103006B2 (ja) * 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100847007B1 (ko) * 2007-05-31 2008-07-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US7947609B2 (en) * 2007-08-10 2011-05-24 Tokyo Electron Limited Method for etching low-k material using an oxide hard mask
US7935640B2 (en) * 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
US8080473B2 (en) * 2007-08-29 2011-12-20 Tokyo Electron Limited Method for metallizing a pattern in a dielectric film
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
KR101378469B1 (ko) 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
CN102044471B (zh) * 2009-10-09 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN102087958B (zh) * 2009-12-03 2012-10-17 无锡华润上华半导体有限公司 反应室内副产物的处理方法
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
CN102315156A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP5296025B2 (ja) * 2010-08-27 2013-09-25 株式会社東芝 半導体装置の製造方法及び製造装置
US20120305525A1 (en) * 2011-05-31 2012-12-06 Hsiu-Chun Lee Method of reducing striation on a sidewall of a recess
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US8932959B2 (en) * 2012-12-19 2015-01-13 Applied Materials, Inc. Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104766797A (zh) * 2014-01-07 2015-07-08 北大方正集团有限公司 一种铝刻蚀方法
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
JP6159757B2 (ja) * 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
WO2016179458A1 (en) * 2015-05-06 2016-11-10 Hutchinson Technology Incorporated Plasma treatments for flexures of hard disk drives
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法
CN107887323B (zh) 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
CN108231659B (zh) 2016-12-15 2020-07-07 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JP3412173B2 (ja) * 1991-10-21 2003-06-03 セイコーエプソン株式会社 半導体装置の製造方法
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
EP0648861A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
JP3094816B2 (ja) 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5785875A (en) * 1996-02-13 1998-07-28 Micron Technology, Inc. Photoresist removal process using heated solvent vapor
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5970373A (en) * 1996-05-10 1999-10-19 Sharp Laboratories Of America, Inc. Method for preventing oxidation in the formation of a via in an integrated circuit
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5945354A (en) * 1997-02-03 1999-08-31 Motorola, Inc. Method for reducing particles deposited onto a semiconductor wafer during plasma processing
US5980768A (en) * 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US5811357A (en) * 1997-03-26 1998-09-22 International Business Machines Corporation Process of etching an oxide layer
US5902704A (en) * 1997-07-02 1999-05-11 Lsi Logic Corporation Process for forming photoresist mask over integrated circuit structures with critical dimension control
US6069091A (en) * 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
KR100262506B1 (ko) * 1998-03-04 2000-09-01 김규현 반도체 소자의 제조 방법
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
WO2000026954A1 (en) 1998-10-30 2000-05-11 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170073537A (ko) * 2015-12-18 2017-06-28 도쿄엘렉트론가부시키가이샤 광학 투영을 이용한 기판 튜닝 시스템 및 방법

Also Published As

Publication number Publication date
TW200305948A (en) 2003-11-01
US20030164354A1 (en) 2003-09-04
WO2003081645A3 (en) 2004-04-15
CN1643651A (zh) 2005-07-20
US6949203B2 (en) 2005-09-27
WO2003081645A2 (en) 2003-10-02
CN100418186C (zh) 2008-09-10

Similar Documents

Publication Publication Date Title
US6949203B2 (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US7125792B2 (en) Dual damascene structure and method
JP6049871B2 (ja) エッチング及びアッシング中での低誘電率材料の側壁保護
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
US7078350B2 (en) Methods for the optimization of substrate etching in a plasma processing system
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
US20040161942A1 (en) Method of manufacturing semiconductor device
US7091612B2 (en) Dual damascene structure and method
US9384979B2 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
US6784107B1 (en) Method for planarizing a copper interconnect structure
JP7038139B2 (ja) 超伝導体相互接続のための堆積方法
KR20000077193A (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정
JP4500023B2 (ja) 層間絶縁膜のドライエッチング方法
KR100816231B1 (ko) 반도체 장치 제조 방법
Murthy et al. Dry Etch and Wet Clean Process Characterization of Ultra Low-k (ULK) Material Nanoglass® E

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid