KR20000077193A - 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정 - Google Patents

비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정 Download PDF

Info

Publication number
KR20000077193A
KR20000077193A KR1020000024593A KR20000024593A KR20000077193A KR 20000077193 A KR20000077193 A KR 20000077193A KR 1020000024593 A KR1020000024593 A KR 1020000024593A KR 20000024593 A KR20000024593 A KR 20000024593A KR 20000077193 A KR20000077193 A KR 20000077193A
Authority
KR
South Korea
Prior art keywords
plasma
dielectric layer
substrate
processing chamber
patterned dielectric
Prior art date
Application number
KR1020000024593A
Other languages
English (en)
Other versions
KR100670618B1 (ko
Inventor
코헨바니엠.
렌가라잔수라즈
리씨앙빙
느간케니킹-타이
딩페이준
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20000077193A publication Critical patent/KR20000077193A/ko
Application granted granted Critical
Publication of KR100670618B1 publication Critical patent/KR100670618B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 전기적인 성능 및 필(fill)을 향상시키기 위한 방법을 제공한다. 패턴된 유전층의 비아 및 트렌치 같은 개구들은 필링(filling)을 강화하기 위하여 에치되고 동일한 체임버에서 세정되어 개구내의 금속산화물을 감소시킨다. 본 발명은 또한 아르곤을 필수구성요소로 하고, 프로세싱 체임버를 둘러싸는 코일에 파워를 제공하고 기판을 지지하는 기판지지부재로 바이어스를 제공함으로써 생성되는 제 1 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 수소 및 헬륨을 필수구성요소로 하고, 프로세싱 체임버를 둘러싸는 코일의 파워공급을 증가시키고 기판을 지지하는 기판지지부재로의 바이어스 제공을 감소시킴으로써 생성되는 제 2 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 상기 유전층을 상기 제 1 및 제 2 플라즈마에 노출시킨 후 상기 패턴된 유전층상에 베리어층을 증착하는 단계, 및 상기 베리어층에 금속을 증착하는 단계를 제공한다. 또한, 예비세정(pre-clean) 체임버, 물리기상증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는, 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버에서 순차적인 플라즈마 처리가 수행될 수 있다.

Description

비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정{SEQUENTIAL SPUTTER AND REACTIVE PRECLEANS OF VIAS AND CONTACTS}
집적회로에 있어서, 사이즈가 작아지고 다중레벨의 금속화(multilevel metallization)가 보편화됨에 따라 저유전율막이 점점 중요하게 되었다. 저유전율막은 특히 금속간유전(IMD)층에 있어서 배선금속화가 커버되는 RC시간지연을 감소시키고, 상이한 레벨의 금속화간의 크로스토크(crosstalk)를 방지하고, 장치파워 소모를 감소시키는데 바람직하다.
서브-하프 미크론(sub-half micron) 다중레벨 금속화는 차세대 초대규모집적 ("VLSI")을 위한 중요한 기술 가운데 하나이다. 본 기술의 중심에 있는 다중레벨 배선(multilevel interconnects)은 플러그 및 다른 배선같은 높은 종횡비 (aspect ratio)특성을 평탄화하는 것이 필요하다. 이러한 배선의 신뢰성있는 형성은 VLSI의 성공과 개별적인 기판 및 다이(die)에 대한 회로밀도와 품질을 증가시키려는 지속적인 노력에 있어서매우 중요하다.
컨택트홀(contact holes), 비아(vias), 트렌치(trenches), 또는 기판에 형성된 다른 특성들에 전기적 도전성이 있는 재료를 증착하기 위하여 종래의 화학기상증착 (CVD) 과 물리기상증착(PVD) 기술이 이용된다. 종래 프로세스의 한 가지 문제점은 컨택트홀이나 다른 패턴들이 높은 종횡비, 즉 홀의 높이와 그 폭 또는 직경의 비가 1보다 종종 크게 되기때문에 발생한다. 기술의 향상이 더욱 공간적으로 근접한 특성을 만들어냄에 따라 홀의 종횡비가 증가한다.
작은 특성(feature)내의 내재적인 산화물 및 다른 오염물질의 존재는, 이러한 것들로 말미암아 증착금속의 불균등한 분포가 촉진되므로 특성내에 증착된 금속에서 공극을 야기시키는 것이 전형적이다. 내재된 산화물은 노출된 막층/기판을 산소에 노출시킨 결과로서 형성된다. 산소노출은 대기상태의 프로세싱 체임버들 사이의 공기중에서 기판을 이동시키거나, 진공 체임버내에 잔류한 적은 양의 산소가 웨이퍼/막 층과 접촉하거나, 층이 에칭에 의해서 오염될 때 발생한다. 특성내의 다른 오염물질들은 산화물 오버에치로부터 스퍼터된 재료, 스트리핑 (stripping) 프로세스로부터의 잔존 포토레지스트(residual photoresist), 이전의 산화물에치 단계로부터의 잔류 탄화수소(hydrocarbon)나 플루오르화 탄화수소 폴리머(flourinated hydrocarbon polymers), 또는 예비세정 스퍼터 에치(preclean sputter etch)프로세스로부터의 재증착된 재료일 수 있다. 내재된 산화물 및 다른 오염물질들은, 막성장을 방해하는 영역을 형성함으로써 막형성을 방해하는 영역을 기판상에 형성한다. 증가된 성장의 영역은, 제한된 성장의 영역이 증착금속으로 채워질 수 있기전에 작은 특성들(features)을 결합하고 봉쇄한다.
내재된 산화물과 다른 오염물질들의 존재는 또한 비아/컨택트 저항을 증가시키고 작은 특성들의 일렉트로마이그레이션(electromigration) 저항을 감소시킬 수 있다. 오염물질들은 유전층, 서브층, 또는 증착금속으로 확산하여 작은 특성들을 포함하는 장치의 성능을 변경시킬 수 있다. 증착금속과 내재하는 도전성 또는 반도전성(semi-conductive) 특성의 경계에서 특성내에 얇은 경계영역으로 오염이 제한될 수 있지만, 얇은 경계영역은 작은 특성의 실질부이다. 특성에서 오염물질의 허용가능한 레벨은 특성의 폭이 작아짐에 따라서 감소한다.
스퍼터 에치 프로세스(sputter etch process)를 이용하여 특성들을 예비세정하는 것은 큰 특성 또는 종횡비가 약 4:1 보다 적은 작은 특성에서 오염물질을 감소시키는데 효과적이다. 그러나, 스퍼터 에치 프로세스는, 물리적인 폭발, 특성들의 측벽상에 Si/SiO2의 스퍼터 증착, 및 특성들의 측벽상에 알루미늄이나 구리같은 금속서브층 스퍼터 증착에 의해, 실리콘층을 손상시킬 수 있다. 더 큰 특성의 경우, 스퍼터 에치 프로세스는 특성들내에서 오염물질의 양을 허용가능한 레벨로 감소시키는 것이 전형적이다. 더 큰 종횡비를 갖는 작은 특성들의 경우, 스퍼터 에치 프로세스는 특성내에서 오염물질을 제거하는데 있어 효과적이지 못하므로, 형성되는 장치의 성능을 저하시킨다.
스퍼터 에치 프로세스에 의한 예비세정은 특히 노출된 구리를 갖는 특성들에 대하여 적합하지 못하다. 구리는 유전체에 형성된 비아의 측벽을 포함하여 유전체를 통해 쉽게 확산하여, 유전체의 무결성을 파괴 또는 저하시킨다. 이 확산은 특히 TEOS, 열산화물 및 낮은 K 의 유전재료에 적용된다. 따라서, 구리의 예비세정을 위하여 새로운 예비세정 프로세스가 필요하다.
도 1 를 참조하여, 예를 들면 실리콘 이산화물 또는 실리콘 질화물층같은, 전기적으로 절연된 또는 유전층(12)내에 형성된 홀(11)을 포함하는 기판(10)이 도시된다. 홀의 측벽(14)상의 오염물질들이 금속을 포함하는 층의 불균일한 증착을 촉진시키므로 큰 종횡비의 홀(11)에 균일하게 금속을 포함하는 층을 증착하기는 어렵다. 금속을 포함하는 층은 결국, 완벽히 채워지기전에 상기 홀의 폭에 걸쳐서 수속(converge)하므로, 상기 홀내에 공극 및 불연속을 형성한다. 그 후, 공극을 둘러싸는 금속원자의 높은 이동도로 인하여 원자들이 확산하고 도 1 에서 도시된 것처럼 원형 형상의 공극의 표면영역을 축소시킨다. 이러한 공극과 불연속으로 인하여 전기적인 컨택트가 불량해지고 신뢰성이 떨어진다.
예비세정은 주로 스퍼터 에치형 프로세스인데, 오염물질이 기판으로부터 스퍼터된다. 보통 아르곤인 불활성가스와 보통 수소인 반응성가스를 혼합하여 수행되는 것이 바람직하다. 아르곤과 수소의 혼합가스는 반응성 및 비반응성 오염물질들을 모두 제거하고 후속하는 금속증착 프로세스를 향상시키기 위해서 컨택트홀, 비아, 트렌치 및 다른 패턴의 형상을 수정하는데 이용될 수 있다. 예비세정 혼합가스에서 아르곤 함량을 증가시킴으로써 예비세정 프로세스의 에치속도를 대응적으로 증가시키고 예비세정 프로세스의 에치 불균일성을 대응적으로 감소시킨다. 구리산화물 및 탄화수소같은 반응성 합성물 또는 오염물질을 효과적으로 제거하기 위해서는 혼합가스에 수소가 반드시 포함되어야 한다. 패턴된 기판을 아르곤과 임의의 양의 수소의 혼합가스로 예비세정함으로써 아르곤으로 예비세정하는 경우에 비하여 더 낮은 에치속도 및 증가된 에치 불균일성이 제공된다.
높은 농도의 반응성가스와 향상된 에치속도를 모두 갖는 예비세정 프로세스는 반응성가스를 첨가함으로써 오염물질의 제거를 실질적으로 촉진할 것이다.
Zhao 등에 의한 미국특허 5,660,682 호는 수소와 아르곤을 포함하는 플라즈마를 이용하여, 패턴된 유전층의 에칭과 반응성세정을 결합하는 시도를 예시한다. 아르곤은 개구로부터 증착물을 에치하고 수소는 잔류한 증착물과 반응하여 가스 부산물을 형성한다. 에칭과 세정의 결합은 후속하는 금속증착을 향상시키지만, 결합된 플라즈마 프로세싱은 후속하는 금속층내의 공극의 형성을 막지는 못한다. 따라서, 패턴된 유전층, 특히 종횡비가 약 1.0 보다 큰 비아와 트렌치같은 개구상의 금속층 증착을 향상하는 방법이 필요하다.
본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 필(fill)과 전기적 성능을 향상시키기 위한 방법을 제공한다. 패턴된 유전층내의 비아나 트렌치같은 개구들은 필링(filling)을 강화하기 위해서 에치되고 세정되어 상기 개구내에서 금속산화물을 감소시킨다. 일 측면에서, 본 발명은 프로세싱 체임버내의 패턴된 유전층을 주로 아르곤을 포함하는 제 1 플라즈마를 이용하여 세정하는 단계, 및 상기 프로세싱 체임버내의 패턴된 유전층을 수소와 헬륨을 필수구성요소로 하는 제 2 플라즈마를 이용하여 세정하는 단계를 제공한다. 에칭 및 세정후에, 개구들은 베리어/라이너(barrier/liner)층에 증착될 수 있는 금속으로 채워진다. 두 세정 프로세스가 동일한 체임버내에서 수행되는 것이 바람직하다.
본 발명은 또한, 프로세싱 체임버내에서 패턴된 유전층을, 아르곤을 필수구성요소로 하고, 상기 프로세싱 체임버를 둘러싸는 유도코일로 RF 플라즈마 파워를 제공하고 기판을 지지하는 기판지지부재로 RF바이어스를 제공함으로써 생성된 제 1 플라즈마를 이용하여 세정하는 방법을 제공한다. 패턴된 유전층은, 수소와 헬륨을 필수구성요소로 하고, 상기 프로세싱 체임버를 둘러싸는 유도코일로의 RF 플라즈마 파워의 공급을 증가시키고 상기 기판을 지지하는 기판지지부재로의 RF 바이어스의 공급을 감소시킴으로써 생성된 제 2 플라즈마를 이용하여 프로세싱 체임버내에서 세정된다.
베리어/라이너층은 그 후에 베리어층에 금속층이 증착될 수 있는, 제 1 및 제 2 플라즈마에 유전층을 노출시키는 단계후에 상기 패턴된 유전층에 증착될 수 있다. 또한, 순차적인 플라즈마 처리는 예비세정 체임버, 물리기상증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버들내에서 수행될 수 있다.
도 1 는 공극(voids), 불연속(discontinuities), 및 비평탄면(non-planar surface)을 갖는 기판의 컨택트홀에서 랜덤하게 지향되고, 결이 고운(fine-grained), 그래뉼러(granular) 증착층을 도시하는 패턴된 기판에 대한 모식적인 부분단면도.
도 2 는 다중기판 프로세싱 체임버를 구비한 클러스터 도구시스템에 대한 모식도.
도 3 는 아르곤 및 수소 플라즈마 단계전 및 후에 발생하는 다른 프로세스 시퀀스 단계와 함께 본 발명의 순차적 아르곤 플라즈마 세정 및 수소 플라즈마 세정단계를 도시하는 플로우차트.
도 4 는 베리어층을 증착하는데 유용한 전형적인 PVD 체임버에 대한 단면도.
도 5 는 본 발명에 유용한 전형적인 예비세정 체임버에 대한 단면도.
* 도면의 주요부분에 대한 부호설명*
100: 클러스터 도구시스템 105, 110: 로드락 체임버
125, 130, 150, 155, 160, 165: 기판프로세싱 체임버
310: PVD 체임버 314: 기판지지부재
316: 타겟 320: 클램프링
326: 마그넷 어셈블리 328: RF 플라즈마 파워소스
본 발명은 건식 또는 습식에치 체임버내에서 에치된 실리콘 이산화물층과 같은, 유전층에 에치된 비아, 컨택트, 및 다른 특성들을 예비세정하여 Ge, Si, Al, Cu, 또는 TiN 서브층같은 도전성 또는 반도전성(semi-conductive) 서브층을 노출시키는 적절한 방법을 제공한다. 에치는 서브층을 노출시켜 서브층과, 유전층상에 증착될 후속하는 금속배선층을 접속하는 도전성 또는 반도전성 재료로 특성을 채울 수 있다. 유전층의 특성에 대한 에칭은 특성의 필링(filling)을 향상시키고 궁극적으로는 형성된 장치의 무결성과 신뢰성을 향상시키기 위하여 반드시 제거되어야 하는 오염물질들을 남기는 것이 보통이다.
유전층을 에칭한 후, 특성들은 유전층의 오버에칭으로부터 특성내에 손상된 실리콘이나 금속잔존물을 가질 수 있다. 특성들은 또한 포토레지스트 스트리핑 (photoresist stripping) 및/또는 애싱(ashing) 프로세스로부터 특성표면상에 잔존 포토레지스트를 포함하거나 유전에치 단계로부터 잔류 탄화수소 또는 풀루오르화 탄화수소 폴리머를 포함할 수 있다. 특성표면은 또한 스퍼터 에치 예비세정 프로세스에 의해서 생성된 재증착된 재료를 포함할 수 있다. 이러한 오염물질은 유전층으로 이동할 수 있거나 증착금속의 불균일한 분포를 촉진함으로써 금속화의 선택성을 방해할 수 있다. 오염물질의 존재는 또한 실질적으로 특성의 폭을 좁힘으로써 증착된 금속의 저항을 증가시켜, 비아, 컨택트라인, 또는 다른 도전성 특성을 형성하는 금속내에 좁은 부분을 형성할 수 있다.
본 발명에 따라서 세정되고 채워진 서브미크론(submicron) 특성들은 반도체기판상의 일 표면에 걸쳐서 유전재료를 증착하는 종래의 기술에 의해서 형성된다. 유기 폴리머(organic polymers) 및 에어로겔(aerogel)같은 저유전재료를 포함하여, 현재 공지되거나 발견될 임의의 유전재료가 본 발명의 범위내에서 이용될 수 있다. 유전층은 하나 이상의 분명한 층들을 포함할 수 있고 임의의 적절한 증착강화 서브층상에 증착될 수 있다. 바람직한 증착강화 서브층들은 Al, Cu같은 도전성금속과, TiN, Ta, 및 TaN같은 베리어 표면을 포함한다.
일단 증착되면, 유전층은 종래기술에 의해 에치되어 비아, 컨택트, 트렌치 또는 다른 서브미크론 특성들을 형성한다. 특성들은 가파른 측벽으로 높은 종횡비를 갖는 것이 보통이다. 유전층에 대한 에칭은 플라즈마 에칭을 포함하는 임의의 유전체 에칭 프로세스로 수행될 수 있다. 실리콘 이산화물을 에칭하기 위한 특정의 기술은 C2F6, SF6및 NF3같은 합성물을 포함한다. 그러나, 패터닝은 임의의 공지된 방법을 이용하여 임의의 층에서 수행될 수 있다.
도 2 는 다중의 기판 프로세싱 체임버를 갖는 클러스터 도구시스템의 모식도이다. 클러스터 도구시스템(100)은 제 1 단계 트랜스퍼 체임버(115)에 부착된 진공 로드락(load-lock) 체임버(105 및 110)를 포함한다. 기판이 시스템(100)으로 들어가거나 나오는 동안 로드락 체임버(105,110)는 상기 제 1 단계 트랜스퍼 체임버(115)내에서 진공상태를 유지한다. 제 1 로보트(120)는 기판을, 로드락 체임버(105, 110)와 상기 제 1 단계 트랜스퍼 체임버(115)에 부착된 하나 이상의 기판 프로세싱 체임버(125, 130) 사이로 트랜스퍼한다. 프로세싱 체임버(125, 130)는 화학기상증착(CVD), 물리기상증착(PVD), 에치, 예비세정, 가스제거, 지향 및 다른 기판 프로세스와 같은 많은 기판 프로세싱 동작을 수행하는데 적합하게 될 수 있다. 제 1 로보트(120)는 또한 상기 제 1 단계 트랜스퍼 체임버(115)와 제 2 단계 트랜스퍼 체임버(140) 사이에 배치된 하나 이상의 트랜스퍼 체임버(135)로/으로부터 기판을 트랜스퍼한다. 트랜스퍼 체임버(135)는 상기 제 1 단계 트랜스퍼 체임버(115)와 제 2 단계 트랜스퍼 체임버(140) 사이로 기판이 트랜스퍼되는 것을 허용하면서 상기 제 2 단계 트랜스퍼 체임버(140)에서 매우 높은 진공상태를 유지하는데 이용된다. 제 2 로보트(145)는 트랜스퍼 체임버(135)와 복수의 기판 프로세싱 체임버(150, 155, 160 및 165) 사이로 기판을 트랜스퍼한다.
전술된 프로세싱 체임버(125, 130)에 유사한, 추가의 프로세싱 체임버(150, 165)는 다양한 기판 프로세싱 동작을 수행하기에 적절하게 될 수 있다. 예를 들면, 프로세싱 체임버(150)는 실리콘 산화막을 증착하기에 적절한 CVD 체임버이고, 프로세싱 체임버(155)는 배선특성을 위한 개구나 오프닝을 에치하기에 적절한 에칭 체임버이고, 프로세싱 체임버(160)는 탄탈륨 및/또는 탄탈륨 질화물같은 베리어막을 반응적으로 스퍼터 증착하기에 적절한 PVD 체임버이고, 프로세싱 체임버(165)는 구리와 같은 도전막을 스퍼터 증착하기에 적절한 PVD 체임버이다. 프로세싱 체임버의 전술된 시퀀스 배열은 본 발명을 실행하는데 유용하다. 복수의 클러스터 도구시스템은 집적회로나 칩제조의 배선부를 완성하기 위하여 필요한 모든 프로세스를 수행하기 위하여 필요할 수 있다.
동작동안, 기판들은 마이크로프로세서나 컴퓨터(도시하지 않음)에 의해서 실행되는 컴퓨터 프로그램의 제어하에 동작하는 컨베이어 벨트(conveyor belt)나 로보트 시스템(도시하지 않음)에 의해 진공 로드락 체임버(105, 110)로 이동된다. 또한, 로보트(120 및 145)는 컴퓨터 프로그램의 제어하에 동작하여 기판을, 클러스터 도구시스템 (100)의 다양한 프로세싱 체임버들 사이로 트랜스퍼한다.
전술된 클러스터 도구시스템은 주로 예시적인 목적이다. 전자 사이클로트론 공진(electron cyclotron resonance, ECR) 플라즈마 프로세싱장치, 유도결합 RF 고밀도 플라즈마 프로세싱 장치등과 같은 다른 플라즈마 프로세싱 장치가 클러스터 도구시스템의 부분으로서 이용될 수 있다. 또한, 본 발명의 실리콘 산화물층 및 베리어층을 형성하기 위한 방법은 어떠한 특정의 장치나 특정의 플라즈마 여기(excitation)방법에 한정되지 않는다.
도 3 는 수소 플라즈마 예비세정 단계전 및 후에 발생하는 다른 프로세스 시퀀스 단계와 함께 본 발명의 아르곤 예비세정 단계 및 수소 플라즈마 예비세정 단계를 도시하는 플로우챠트. 도 3 에 도시된 단계들은 클러스터 도구시스템(100)을 위한 마이크로프로세서나 컴퓨터 제어기에 의해 수행되는 컴퓨터 프로그램의 명령에 응답하여 수행될 수 있다.
첫째, 유전층이 기판에 증착된다(단계 200). 실리콘 산화막같은 유전층의 증착은 공지된 다양한 방법을 통하여 성취될 수 있다. 유전층은, 예를 들면, 도 2 에서 도시된 CVD 체임버(150)에서 수행된 화학기상증착 프로세스를 이용하여 증착되는 것이 바람직하다. 그러나, 상기 유전층을 증착하기 전에, 기판은 당업자에게 이해되는 것과 같이 능동장치 및 다른 구조들을 형성하기 위하여 다중 프로세싱 단계를 거치는 것이 보통이다.
둘째, 유전층은 위에 놓인 층의 증착을 준비할 때 평탄화(단계205)될 수 있다. 평탄화 프로세스는 화학기계적 연마(CMP), 에칭 또는 다른 유사한 프로세스를 포함할 수 있다. 컨택트와 비아같은 배선특성을 위한 오프닝 또는 개구들은 유전층에서 에치된다(단계210). 도 2 의 클러스터 도구시스템(100)에서 도시된 것처럼 에치 체임버(155)같은 전형적인 에치 체임버에서 스퍼터 에칭 프로세스들이 수행될 수 있다. 보통, 유전층은 두께가 약 0.5 내지 3.0 미크론이고, 배선특성은 서브-쿼터(sub-quarter) 미크론 오프닝과 1:1보다 큰 종횡비(폭과 높이의 비)를 갖는다. 단계 205 및 210 는 금속화되거나 재료층으로 채워질 배선특성을 구비한 패턴된 기판을 생성한다.
셋째, 본 발명에 따른 아르곤 플라즈마 세정(단계212)은 이전 프로세스 단계로부터의 증착물을 제거하기 위하여 패턴된 기판상에서 수행된다. 아르곤 플라즈마 단계에서, 증착물들은 아르곤 플라즈마에 의해서 스퍼터되고 개구로부터 제거된다. 아르곤 스퍼터 프로세스는 다양한 체임버에서 수행될 수 있지만, 예비세정 체임버에서 수행되는 것이 바람직하다. 넷째, 본 발명에 따른 수소 플라즈마 예비세정 단계는 패턴된 기판상에서 수행된다. 기판은 구리산화물을 구리로 바꾸고 상기 유전층의 구조를 세정 및 안정화시키기 위하여 수소플라즈마 (단계215)를 이용해서 예비세정된다. 비록 예비세정 단계가 임의의 전형적인 플라즈마 프로세싱 체임버에서 수행될 수 있지만, 예비세정 단계는 예비세정 체임버에서 수행되는 것이 바람직하다. 본 발명에 따른 아르곤 플라즈마 에치 및 수소 플라즈마 예비세정 단계는 도 5 에서 도시된 예비세정 체임버를 참조하여 더욱 상세히 논의된다.
다음, 확산베리어층, 바람직하게는 탄탈륨 질화물이, 위에 있는 금속층으로 실리콘이 확산되는 것을 막기 위하여 증착된다(단계220). 확산베리어층은 또한 금속막과 실리콘 산화막같은 상이한 막 사이의 막접착을 향상시킨다. 탄탈륨 질화물층은, 공지된 반응성 스퍼터링에 적합한 PVD 체임버를 이용하여 증착되는 것이 바람직하다. 확산베리어층은 두께가 약 50Å 내지 200Å인 막을 구비하는 것이 바람직하다.
도 4 는 베리어층을 증착하기에 유용한 전형적인 PVD 체임버의 단면도이다. PVD 체임버(310)는 일반적으로 체임버 인클로져(chamber enclosure, 312), 기판지지부재(314), 타겟(316), 쉴드(shield, 318), 클램프링(clamp ring, 320), 가스흡입부(gas inlet, 322), 가스배기부(gas exhaust, 324), 마그넷 어셈블리(magnet assembly)(326), RF 플라즈마 파워소스(328), 및 RF 바이어스 소스(334)를 포함한다. 프로세싱동안, 기판(330)은 기판지지부재(314)상에 위치되고, 타겟의 에지와 쉴드의 상부 사이에 배치된 가스흡입부(322)를 통하여, 타겟(316), 기판(330), 및 쉴드(318)로 정의되는 프로세싱 영역(332)으로 프로세싱 가스가 유도된다. RF 플라즈마 파워소스(328)는 프로세싱동안 프로세싱 영역(332)내에서 프로세싱 가스의 플라즈마를 시작하고 유지하기 위하여 타겟으로 RF 파워를 제공하는 한편 RF 바이어스 소스(334)는 기판지지부재(314)로 RF 바이어스를 제공한다. 쉴드(318)는 프로세싱동안 접지되는 것이 보통이다. 증착동안, 타겟면으로부터 재료를 스퍼터하기 위하여 플라즈마의 이온들이 타겟을 가격한다. 스퍼터된 재료는 플라즈마내의 이온들과 반응하고 기판면 위에 소정의 막을 형성한다. 탄탈륨/탄탈륨 질화물같은 베리어막을 증착하기 위하여, 프로세싱 가스는 아르곤과 질소를 포함하는 것이 전형적인데, 아르곤은 주로 타겟(316)을 가격하는 플라즈마 이온을 위한 가스소스로서 기능하고 질소는 주로 타겟(316)으로부터 스퍼터된 원자(탄탈륨)과 반응하여 기판(330)상에 증착되는 탄탈륨/탄탈륨 질화막을 형성한다. 베리어막을 증착한 후, 기판은 약 300 내지 500℃ 사이의 온도에서 어닐링되어 증착된 막의 재료 특징을 향상시키는 것이 보통이다.
최근에는, 구리같은 금속층이 확산베리어층에 증착되어 배선특성의 형성을 마친다(단계 225). 금속층은 약 6,000 내지 10,000Å의 두께를 갖는 것이 바람직하다. 구리증착은 공지되어 있는 전형적인 PVD 체임버나 전형적인 CVD 체임버에서 수행될 수 있다. 다중레벨의 집적회로 구성의 경우 전술된 프로세스가 반복될 수 있다.
본 발명에 따르면, 패턴된 유전층은 탄탈륨 질화물 베리어층을 증착하기 전에 아르곤 플라즈마를 이용하고 수소 플라즈마를 이용하여 예비세정된다. 예비세정 프로세스는 PVD 체임버, CVD 체임버, 에치 체임버 및 예비세정 체임버를 포함하는 다양한 프로세싱 체임버에서 수행될 수 있다. 탄탈륨 질화물 베리어층을 증착하기 전에 예비세정 체임버를 이용하여 예비세정 프로세스가 수행되는 것이 바람직하다. 본 발명이 예비세정 체임버를 이용하여 설명되지만, 본 발명은 다양한 프로세싱 체임버에 대하여 적용가능함이 이해된다.
도 5 는 본 발명에 유용한 전형적인 예비세정 체임버의 단면도이다. 본 발명에 유용한 예비세정 체임버의 예는 캘리포니아 산타클라라의 어플라이드 머티리얼즈의 예비세정 II 체임버이다. 일반적으로, 예비세정 체임버(510)는 수정돔(quartz dome, 516) 아래의 체임버 인클로져(514)에 배치된 기판지지부재(512)를 구비한다. 기판지지부재(512)는, 보통 수정, 세라믹등을 필수구성요소로 하는, 절연판(522)상의 리세스(recess, 520)내에 배치된 중앙 피데스털판 (central pedestal plate, 518)을 포함하는 것이 보통이다. 프로세싱하는 동안, 기판(524)은 중앙 피데스털판(518)상에 위치되고 위치핀(locating pin, 532)에 의하여 그곳에 담겨진다. 체임버내에서 프로세스 가스의 플라즈마를 시작하고 유지하기 위하여 수정돔(516)의 외부에 RF 코일(526)이 배치되고 RF 파워소스(524)로 접속되는 것이 바람직하다. 일반적으로, RF 매치 네트워크(530)가 RF 파워소스 (524)와 RF 코일(526)을 매치(match)시키기 위하여 제공된다. 기판지지부재(512)로 바이어스를 제공하는 RF 바이어스 소스(528)로 기판지지부재(512)가 접속된다. RF 파워소스(524)는 최대 약 500W의 2MHz RF파워를 코일(526)로 제공하는 것이 바람직하고 RF 바이어스소스(528)는 최대 약 500W의 13.56MHz RF 바이어스를 기판지지부재(512)로 제공하는 것이 바람직하다.
본 발명에 따르면, 패턴된 또는 에치된 기판은 베리어층을 증착하기전에 예비세정 체임버에서 먼저 아르곤 플라즈마 그리고나서 수소 플라즈마를 이용하여 예비세정되는 것이 바람직하다. 유전층이 평탄화되고 배선특성의 오프닝이 형성된 후 기판이 예비세정 체임버로 트랜스퍼되는 것이 바람직하다. 예비세정 체임버를 구비한 시스템이나 프로세싱 플랫폼으로 기판이 트랜스퍼되기 전에 기판의 패턴 에칭이 또 다른 프로세싱 플랫폼이나 시스템에서 프로세스될 수 있다. 기판이 예비세정 체임버에서 프로세싱을 위해 위치되면, 주로 아르곤을 포함하는 즉, 원자수에 의한 약 50% 이상인 프로세싱 가스가 바람직하게 약 0.8 mtorr의 압력에서 프로세싱 영역으로 유도된다. 아르곤가스의 플라즈마는 기판이 아르곤 스퍼터 세정환경을 겪도록 프로세싱 영역에서 가격된다. 아르곤 플라즈마는 약 50 내지 500W의 RF파워를 RF파워소스(524)로부터 RF코일(526)로 인가하고 약 50 내지 500W의 RF바이어스를 RF바이어스 소스(528)로부터 기판지지부재(512)로 인가함으로써 생성되는 것이 바람직하다. 아르곤 플라즈마는 약 10 내지 300초동안 유지되어 반응성 수소 플라즈마에 의해 쉽게 제거되지 않은 증착물에 대한 충분한 세정시간을 제공한다. 아르곤 플라즈마는 코일로 인가된 약 300W RF파워와 기판지지부재로 인가된 약 300W RF바이어스에 의해서 생성되는 것이 바람직하고, 약 60초동안 유지되는 것이 바람직하다.
아르곤 플라즈마에 뒤이어, 체임버압력이 약 80 mtorr로 증가되고, 수소와 헬륨을 필수구성요소로 하고, 원자수에 의한 약 5% 내지 약 100% 수소와 원자수에 의한 약 0% 내지 95% 헬륨을 포함하는 프로세싱 가스가 프로세싱 영역으로 유도된다. 프로세싱가스는 약 5% 수소와 약 95% 헬륨을 포함하는 것이 바람직하다. 수소/헬륨 가스의 플라즈마는 프로세싱 영역에서 가격되어 기판이 반응성 수소 플라즈마 환경을 겪도록 한다. 수소 플라즈마는, 약 50W 내지 500W의 파워를 RF파워소스(524)로부터 RF코일(526)로 인가하고 약 5W 내지 300W의 RF바이어스를 RF바이어스 소스(528)로부터 기판지지부재(512)로 인가함으로써 형성된다. 수소 플라즈마는 약 10 내지 300초동안 유지되어 구리산화물을 구리로 변화시키고 기판을 세정한다. 수소 플라즈마는 코일로 인가된 약 450W의 RF파워와 기판지지부재로 인가된 약 10W의 RF 바이어스에 의해서 생성되는 것이 바람직하고, 약 60초동안 유지되는 것이 바람직하다. 예비세정 프로세스가 끝나면, 예비세정 체임버에서는 프로세싱 가스와 예비세정 프로세스로부터의 반응부산물이 배기된다. 그리고 나서 세정된 기판상에 베리어층이 증착되며, 도 3 에서 요약된 나머지 프로세스가 수행된다.
이전의 설명이 본 발명의 바람직한 실시예로 설명되었지만, 본 발명의 다른 실시예들이 기본적인 범위를 벗어나지 않고서 고안될 수 있다. 본 발명의 범위는 이하 청구항에 의해서 결정된다.
본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 전기적인 성능 및 필(fill)을 향상시키기 위한 방법을 제공한다. 패턴된 유전층의 비아 및 트렌치 같은 개구들은 필링(filling)을 강화하기 위하여 에치되고 동일한 체임버에서 세정되어 개구내의 금속산화물을 감소시킨다. 본 발명은 또한 아르곤을 필수구성요소로 하고, 프로세싱 체임버를 둘러싸는 유도코일에 RF파워를 제공하고 기판을 지지하는 기판지지부재로 RF바이어스를 제공함으로써 생성되는 제 1 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 수소 및 헬륨을 필수구성요소로 하고, 프로세싱 체임버를 둘러싸는 유도코일의 RF파워공급을 증가시키고 기판을 지지하는 기판지지부재로의 RF바이어스 제공을 감소시킴으로써 생성되는 제 2 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 상기 유전층을 상기 제 1 및 제 2 플라즈마에 노출시킨 후 상기 패턴된 유전층상에 베리어층을 증착하는 단계, 및 상기 베리어층에 금속을 증착하는 단계를 제공한다. 또한, 예비세정(pre-clean) 체임버, 물리기상증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는, 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버에서 순차적인 플라즈마 처리가 수행될 수 있다.

Claims (13)

  1. a) 주로 아르곤을 포함하는 제 1 플라즈마를 이용하여 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 및
    b) 수소 및 헬륨을 필수구성요소로 하는 제 2 플라즈마를 이용하여 프로세싱 체임버에서 패턴된 유전층을 세정하는 단계를 포함하는 것을 특징으로 하는, 상기 패턴된 유전층상에서의 금속증착을 향상시키기 위한 방법.
  2. 제 1 항에 있어서, 상기 유전층을 상기 제 1 플라즈마 및 상기 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 금속을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. a) 주로 아르곤을 포함하고, 프로세싱 체임버를 둘러싸는 코일로 RF파워를 제공하며 기판을 지지하는 기판지지부재로 RF바이어스를 제공함으로써 생성되는 제 1 플라즈마로 상기 프로세싱 체임버내에서 상기 패턴된 유전층을 세정하는 단계,
    b) 수소 및 헬륨을 필수구성요소로 하고, 상기 프로세싱 체임버를 둘러싸는 코일로 RF파워를 제공하며 상기 기판을 지지하는 기판지지부재로 RF바이어스를 제공함으로써 생성되는 제 2 플라즈마로 상기 프로세싱 체임버내에서 상기 패턴된 유전층을 세정하는 단계, 및
    c) 상기 유전층을 상기 제 1 플라즈마 및 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 금속을 증착시키는 단계를 포함하는 것을 특징으로 하는, 상기 기판상의 패턴된 유전층상에서의 금속증착을 향상시키기 위한 방법.
  4. 제 1 항 또는 제 3 항에 있어서, 상기 제 1 플라즈마는 아르곤을 필수구성요소로 하는 것을 특징으로 하는 방법.
  5. 제 3 항에 있어서,상기 금속을 증착하기 전에 상기 패턴된 유전층상에 베리어층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 3 항에 있어서, 상기 제 1 플라즈마를 생성하기 위하여 상기 기판지지부재로 제공된 것보다 더 적은 RF바이어스가 상기 제 2 플라즈마를 생성하기 위하여 상기 기판지지부재로 제공되는 것을 특징으로 하는 방법.
  7. a) 아르곤을 필수구성요소로 하고, 프로세싱 체임버를 둘러싸는 코일로 RF파워를 제공하며 기판을 지지하는 기판지지부재로 RF바이어스를 제공함으로써 생성되는 제 1 플라즈마로 상기 프로세싱 체임버내에서 상기 패턴된 유전층을 세정하는 단계,
    b) 수소 및 헬륨을 필수구성요소로 하고, 상기 프로세싱 체임버를 둘러싸는 코일로 제공되는 RF파워를 증가시키고 상기 기판을 지지하는 기판지지부재로 제공되는 RF바이어스를 감소시킴으로써 생성되는 제 2 플라즈마로 상기 프로세싱 체임버내에서 상기 패턴된 유전층을 세정하는 단계,
    c) 상기 유전층을 상기 제 1 플라즈마 및 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 베리어층을 증착시키는 단계, 및
    d) 상기 베리어층에 금속을 증착하는 단계를 포함하는 것을 특징으로 하는, 상기 기판상의 패턴된 유전층상에서의 금속증착을 향상시키기 위한 방법.
  8. 제 1 항, 제 3 항 또는 제 7 항에 있어서, 상기 프로세싱 체임버는 예비세정 체임버인 것을 특징으로 하는 방법.
  9. 제 1 항 또는 제 7 항에 있어서, 상기 제 2 플라즈마는 원자수에 의한 약 5% 내지 약 100%의 수소와 원자수에 의한 약 0% 내지 약 95%의 헬륨을 필수구성요소로 하는 것을 특징으로 하는 방법.
  10. 제 3 항 또는 제 7 항에 있어서, 상기 제 2 플라즈마는 원자수에 의한 약 5%의 수소와 원자수에 의한 약 95%의 헬륨을 필수구성요소로 하는 것을 특징으로 하는 방법.
  11. 제 3 항 또는 제 7 항에 있어서, 상기 제 1 플라즈마는 상기 코일로 제공되는 약 300W의 RF파워와 상기 기판지지부재로 제공되는 약 300W의 RF바이어스로 생성되고, 상기 제 2 플라즈마는 상기 코일로 제공되는 약 450W의 RF파워와 상기 기판지지부재로 제공되는 약 10W의 RF바이어스로 생성되는 것을 특징으로 하는 방법.
  12. 제 3 항 또는 제 7 항에 있어서, 각각의 플라즈마는 상기 프로세싱 체임버내에서 약 60초동안 유지되는 것을 특징으로 하는 방법.
  13. 제 7 항에 있어서, 상기 제 1 플라즈마는 상기 프로세싱 체임버내에서 약 0.8 mtorr의 압력으로 생성되고, 상기 제 2 플라즈마는 상기 프로세싱 체임버내에서 약 80 mtorr의 압력으로 생성되는 것을 특징으로 하는 방법.
KR1020000024593A 1999-05-11 2000-05-09 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정 KR100670618B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30960699A 1999-05-11 1999-05-11
US9/309,606 1999-05-11
US09/309,606 1999-05-11

Publications (2)

Publication Number Publication Date
KR20000077193A true KR20000077193A (ko) 2000-12-26
KR100670618B1 KR100670618B1 (ko) 2007-01-17

Family

ID=23198904

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000024593A KR100670618B1 (ko) 1999-05-11 2000-05-09 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정

Country Status (3)

Country Link
JP (1) JP2001085331A (ko)
KR (1) KR100670618B1 (ko)
TW (1) TW512448B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100702290B1 (ko) * 2002-04-16 2007-03-30 동경 엘렉트론 주식회사 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
CN100499030C (zh) 2003-12-04 2009-06-10 东京毅力科创株式会社 半导体基板导电层表面的净化方法
KR100779337B1 (ko) 2006-08-24 2007-11-23 동부일렉트로닉스 주식회사 반도체 장치 형성 방법
US10460988B2 (en) * 2017-12-21 2019-10-29 Tokyo Electron Limited Removal method and processing method
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
CN113473708A (zh) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 一种电路板结构的制作方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60174873A (ja) * 1984-02-20 1985-09-09 Hitachi Cable Ltd 蒸着用金属基板の前処理方法
JPH0562957A (ja) * 1991-09-04 1993-03-12 Seiko Epson Corp プラズマ洗浄法
KR19990006183A (ko) * 1997-06-30 1999-01-25 김영환 반도체 소자의 금속 배선 방법
KR100291439B1 (ko) * 1998-02-06 2001-08-07 철 주 황 반도체소자의제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100702290B1 (ko) * 2002-04-16 2007-03-30 동경 엘렉트론 주식회사 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법

Also Published As

Publication number Publication date
TW512448B (en) 2002-12-01
KR100670618B1 (ko) 2007-01-17
JP2001085331A (ja) 2001-03-30

Similar Documents

Publication Publication Date Title
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
US6905965B2 (en) Reactive preclean prior to metallization for sub-quarter micron application
JP4901004B2 (ja) 基板表面上の酸化銅を除去する方法
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6946401B2 (en) Plasma treatment for copper oxide reduction
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7053002B2 (en) Plasma preclean with argon, helium, and hydrogen gases
US7462565B2 (en) Method of manufacturing semiconductor device
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
KR100670618B1 (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정
US6451673B1 (en) Carrier gas modification for preservation of mask layer during plasma etching
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6784107B1 (en) Method for planarizing a copper interconnect structure
KR20000071322A (ko) 반도체 장치 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee