CN100499030C - 半导体基板导电层表面的净化方法 - Google Patents

半导体基板导电层表面的净化方法 Download PDF

Info

Publication number
CN100499030C
CN100499030C CNB200480035836XA CN200480035836A CN100499030C CN 100499030 C CN100499030 C CN 100499030C CN B200480035836X A CNB200480035836X A CN B200480035836XA CN 200480035836 A CN200480035836 A CN 200480035836A CN 100499030 C CN100499030 C CN 100499030C
Authority
CN
China
Prior art keywords
conductive layer
layer surface
gas
plasma
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB200480035836XA
Other languages
English (en)
Other versions
CN1890785A (zh
Inventor
佐佐木胜
井出真司
尾崎成则
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1890785A publication Critical patent/CN1890785A/zh
Application granted granted Critical
Publication of CN100499030C publication Critical patent/CN100499030C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种可以充分地除去残渣有机物和自然氧化物,并且不对通孔的侧壁绝缘膜造成损伤,不对k值造成不良影响的半导体基板导电层表面的净化方法。将在半导体基板的导电层(1)的表面上形成绝缘膜(2、3)、并在绝缘膜(3)中形成将导电层(1)的一部分露出的通孔(4)的半导体装置搬入到反应容器内,在反应容器内产生含氢的等离子体、以将通孔(4)底部的导电层(1)上净化,利用灰化将残渣有机物(6)分解除去并将导电层(1)表面上的铜氧化膜(7)还原成铜。

Description

半导体基板导电层表面的净化方法
技术领域
本发明涉及半导体基板导电层表面的净化方法,例如涉及对在同时形成通孔和配线部的双层金属镶嵌结构的通孔底部露出的导电层表面进行净化的方法。
背景技术
以往,在半导体装置中,一直使用在加工配线之后、堆积层间绝缘膜并将其平坦化的方法。作为相对于此不同的概念,有同时形成通孔和配线用槽的双层金属镶嵌(Dual Damascene)结构。在该结构中,由于可以将通孔和配线用槽统一为相同的材料,所以具有可以实现降低连接孔界面电阻和提高耐电迁移性的特征。特别地,在双层金属镶嵌结构中,由于总是在平坦面上堆积层间绝缘膜,所以具有不需要以往的改善膜覆盖性使得在配线间不形成内腔(void)的要求等的特征。
专利文献1:特开2002-26121号公报(段落序号0031、图6)
发明内容
在这样的双层金属镶嵌结构的制造工序中,包括在通孔底部露出的导电层表面的净化工序。在通孔底部的导电层表面上,大多存在有光致抗蚀剂等有机物的蚀刻残渣。另外,在导电层表面上不可避免地形成自然氧化膜。例如,在导电层是铜的情况下,会出现氧化铜(CuO)。存在这样的残渣有机物和氧化物,有导致通孔部的电阻增大的问题。
在专利文献1中记载有,对低密度介电常数膜的表面进行等离子体处理、形成致密的表面改质层,由此阻止通孔部的电阻增大。
另外,作为专利文献1中记载的方法以外的现有的方法,还有对在通孔底部露出的导电层表面进行净化的方法。在该方法中,通过打入氩离子将残渣有机物分解并除去,但是,净化不充分。仅打入氩离子,由于未进行灰化,所以不能将残渣有机物完全除去。另外,也不能除去自然氧化膜。再者,打入氩离子时,存在对通孔的侧壁绝缘膜造成损伤、对介电常数(k值)造成不良影响的问题。
本发明的主要的课题,是提供一种可以充分地除去残渣有机物和自然氧化物,不对通孔的侧壁绝缘膜造成损伤,不对介电常数(k值)造成不良影响的半导体基板导电层表面的净化方法。
根据本发明的第一方面的净化方法,对放置在反应室内的半导体基板上的导电层表面进行净化,其特征在于:在反应室内产生含氢的等离子体,边利用该等离子体还原导电层表面、边进行净化。
通过这样在反应室内产生含氢的等离子体,边利用该等离子体还原导电层表面、边进行净化,可以除去氧化膜,所以可以进行净化,而不会使电阻变高、也不会使介电常数(k值)增大。
也可以利用等离子体对导电层表面上存在的残渣有机物进行灰化,来除去残渣有机物。
可以在导电层表面上形成绝缘膜,在绝缘膜上形成将导电层的一部分露出的通孔,利用等离子体对在通孔底部露出的导电层表面进行净化。
也可以在绝缘膜上进一步叠层上层绝缘膜,在该上层绝缘膜上形成露出通孔的配线用槽,在形成上层绝缘膜后,利用等离子体对露出的导电层表面进行净化。
净化工序,也可以利用低电子温度的高密度等离子体处理来进行。
高密度等离子体处理,基于微波、使反应容器内分布均匀的电场,产生高密度等离子体。
等离子体处理在含有氢和氦的混合气体的气氛下进行,氦相对于氢的比例可以选择为0.005~20。
附图说明
图1是可以在根据本发明的净化方法的实施中使用的等离子体基板处理装置的截面图。
图2是在图1所示的等离子体基板处理装置内设置的槽板的局部剖视立体图。
图3是表示半导体基板上的双层金属镶嵌结构的截面图。
图4是表示各种处理气体的灰化速率的图。
图5A是表示利用各种处理气体进行处理的情况下的绝缘膜的k值的图。
图5B是表示利用各种处理气体进行处理的情况下的绝缘膜的Δk的图。
图6是表示各种处理气体对SiOCH的蚀刻速率的图。
图7是表示He/H2气体的流量比的图。
图8是表示N2/H2气体的流量比的图。
图9是表示向CuO照射使用He/H2气体的等离子体时的氧还原特性与照射时间的关系的图。
图10是表示根据本发明的净化方法的实施工序的流程图。
具体实施方式
以下,根据附图对本发明的实施方式进行说明。
图1是根据本发明的、用于净化双层金属镶嵌结构的高密度等离子体处理装置10的截面图。图2是在图1所示的高密度等离子体处理装置内设置的槽板的局部剖视立体图。
高密度等离子体处理装置10包括设置有保持双层(dual)金属镶嵌结构的半导体晶片W的基板保持台12的处理容器11。处理容器11内的气体,利用排气系统124,经由排气口136、排气室137、排气管口134,从排气管135排出。此外,基板保持台12具有加热半导体晶片W的加热器121。加热器121由位于外部的加热器电源122进行驱动。
在处理容器11的装置上方(上侧),与基板保持台12上的半导体晶片W相对,设置有开口部。该开口部利用由石英、氧化铝、氮化铝等构成的电介质板13密封。在电介质板13的上部(外侧)配置有图2所示的作为天线(平面天线)起作用的槽板14。
槽板14包括在例如圆板状的薄板铜板上镀有金属或银的圆形导体板141,在圆形导体板141上,同心圆状地形成有多个T形的狭缝142。而且,狭缝在半径方向上形成为放射状,狭缝142间的间隔优选为λg/2或λg。利用这些狭缝142,在处理容器11内的空间中形成均匀的电场分布。
在槽板14的更上部(外侧),配置有由石英、氧化铝、氮化铝等构成的电介质板15。该电介质板15有时被称为滞波板或波长缩短板,通过降低微波的传播速度,将波长缩短,从而使从槽板14放射出的微波的传播效率提高。在电介质板15的上部(外侧),以覆盖槽板14和电介质板15的方式配置有导体(铝、不锈钢等)的外壳部件16。
在外壳部件16的内部,设置有制冷剂流动的制冷剂流路16a,通过将电介质板13和槽板14冷却,部件的破损等被抑制。另外,在处理容器11的上端中央,设置有用于导入来自微波发生源128的微波的矩形波导管132和同轴波导管132。在处理容器11的壁中,设置有用于导入气体的气体喷嘴22,可以供给图示的各种气体。
通过打开闸阀125,可以将半导体晶片W从搬入口133搬入搬出。
在处理容器11的壁外侧上,以包围容器整体的方式形成有制冷剂流路24。气体供给源130、排气系统124和加热器电源122等,由控制装置120控制。控制装置124的内部设置有CPU、ROM·RAM等存储器存储介质、硬盘、CDROM驱动器、输入输出装置等(未图示)。将在硬盘或ROM中预先存储或通过CDROM等从外部供给用于运行根据本发明的半导体基板导电层表面的净化方法的软件、并向RAM传送,由此控制装置124内的CPU可以运行根据本发明的净化方法。
图3是表示双层金属镶嵌结构的截面图。在图3中,在作为Cu配线层的导电层1上形成有由SiCOH等低介电常数(low k)膜构成的层间绝缘膜2、3。在层间绝缘膜2中形成作为连接部的通孔4,在通孔4的底部,导电层1的一部分露出。在层间绝缘膜3中形成有将通孔4露出的配线用槽5。通孔4和配线用槽5通过蚀刻形成,但是,蚀刻时在导电层1表面上存在光致抗蚀剂等残渣有机物6,同时,形成铜氧化膜(CuO)7。
在本发明中,将具有双层金属镶嵌结构的基板搬入到图1和图2所示的高密度等离子体处理装置10的处理容器11内,向处理容器11内导入含氢的气体,在已导入气体的处理容器11内产生含氢的等离子体,控制反应容器内的压力和含氢的等离子体的产生时间,由此利用灰化将层间绝缘膜2、3的侧壁的残渣有机物6分解、除去,同时,将通孔4的底部露出的导电层1表面的铜氧化膜7还原为铜(Cu)。
为了利用高密度等离子体处理装置10产生等离子体以除去残渣有机物6,可考虑使用Ar/O2/He气体、Ar/N2/H2气体、Ar/He/H2气体等处理气体,但更优选在Ar/He/H2气体气氛下,利用高密度等离子体处理装置10在低电子温度(0.7eV~2eV)下进行1011~1013/cm3的高密度等离子体处理,通过对残渣有机物6进行灰化,可以将残渣有机物6分解并除去、并且可以将铜氧化膜7还原成铜,而不会对层间绝缘膜2、3造成损伤或使k值增大。
图4是对在将被导入到处理容器11内的Ar/O2/He气体、Ar/N2/H2气体、和Ar/He/H2气体的各自的流量比选择为1000/200/200sccm、处理容器11内的压力为500mTorr、微波的输出功率为1.5kW、电介质板13与基板W之间的间隙为105mm、处理容器11内的温度为250℃的条件下,对光致抗蚀剂进行灰化时的灰化速率进行比较。由该图4可知,含氧的Ar/O2/He气体的灰化速率最高,接着依次是Ar/N2/H2气体、Ar/He/H2气体。
另外,图5A和图5B表示基于不同处理气体的k值和Δk值。具体地说,图5A表示用Ar单一气体、Ar/N2/H2气体、和Ar/He/H2气体等各处理气体,在室温下进行处理时的k值、和在200℃的温度下进行处理时的k值。图5B是表示这两个k值的差Δk的图。左纵轴分别表示k值和Δk。
在图5A中,在Ar单一气体的情况下,室温处理时的k值和200℃处理时的k值的差Δk小至0.15左右。在Ar/N2/H2气体的情况下,室温处理时的k值和200℃处理时的k值的差Δk大至约0.35左右;在Ar/He/H2气体的情况下,室温处理时的k值和200℃处理时的k值的差Δk为0.12左右,变化最小。Ar气体时,差Δk为0.15左右,成为最小的值,但是,如现有例中所说明的那样,不能将有机物残渣6完全除尽。因此,即使是含有相同H2的气体,与Ar/N2/H2气体相比,像Ar/He/H2气体那样Δk少的一方,在暴露于等离子体时不会使k值增大,所以可以说适合于残渣有机物6的灰化。另外,优选不使k值增加、不对Lowk膜(低介电常数膜)产生等离子体损伤的条件。
再有,图6是表示各处理气体对SiOCH(层间绝缘膜)的蚀刻速率的图。在图6中,Ar气体、Ar/N2/H2气体、Ar/He/H2气体的蚀刻速率都小至约200A/min以下,而像Ar/O2/He气体、Ar/O2/N2气体、Ar/O2气体那样含氧的气体的蚀刻速率约为1900(埃(angstrom)A)A/min,是较大的值。由该对比可知,与Ar/O2/He气体、Ar/O2/N2气体、Ar/O2气体相比,Ar气体、Ar/N2/H2气体、Ar/He/H2气体的蚀刻速率较小,其中Ar/He/H2气体的蚀刻速率最小,对层间绝缘膜2、3的损伤小。
根据这些比较可知,Ar/O2/He气体,如在图4中所说明的那样,灰化速率高,适合于残渣有机物6的除去,但是,由于蚀刻速率也高,所以层间绝缘膜2、3的侧壁会被蚀刻,对侧壁的损伤变大,所以不适合作为处理气体使用。
与此相对,Ar/He/H2气体、Ar/N2/H2气体,如图4所示,灰化速率小,但是,如图6所示,蚀刻速率也小,所以对层间绝缘膜2、3的损伤小,可以说适合作为处理气体。其中,对Ar/He/H2气体和Ar/N2/H2气体进行比较时,如图5所示,由于与Ar/N2/H2气体相比、Ar/He/H2气体的Δk较小,所以可以说使用Ar/He/H2气体作为处理气体,对于灰化最适合。
图7是表示Ar/He/H2气体的He气体相对于H2气体的流量比的图。如图7所示,He气体相对于H2气体的流量比在0.0~0.5附近时,k值大致为2.36,从流量比为0.5附近开始,k值下降,在0.7~1.75附近,k值大致为2.35以下的低值,在该比例范围内选择He比H2,在减小k值方面优选。这可认为是因为只有H自由基参与残渣物的分解的缘故。
图8表示Ar/N2/H2气体的N2对H2的流量比。如图8所示,N2气体相对于H2气体的流量比在0.0~0.5附近时,k值为2.37~2.55,而流量比从0.5增大至1.0时,k值从2.55变大为2.6。这可认为是因为N自由基被导入到绝缘膜中的缘故。
由这些比较可知,与Ar/N2/H2气体相比,Ar/He/H2气体的k值随流量比的变化而变化较小。由这些对比可知,在Ar/He/H2气体气氛下,利用高密度等离子体处理装置10,在低电子温度下、对具有图3所示的双层金属镶嵌结构的基板进行高密度等离子体处理,对残渣有机物6进行灰化,可以最有效地分解并除去残渣有机物6,而不会对层间绝缘膜2、3造成损伤、不会增大k值。
图9是表示向铜氧化膜7照射使用上述He/H2气体的等离子体时的氧还原特性的图,纵轴表示氧含量(原子%)。
如图9所示,可知:铜氧化膜7中氧含量为35原子%,向CuO照射He/H2等离子体5sec后,氧含量降低至5原子%,照射10sec、20sec时,氧含量维持在5原子%,但是若照射30sec~60sec~180sec,则氧减少到大致0原子%,铜氧化膜7被还原成铜,可以形成清洁的Cu的表面。
因此,可知:作为最优选的实施方式,产生Ar/He/H2气体那样含氢的等离子体,在低电子温度下用高密度等离子体进行灰化,除去氧化绝缘膜2、3的壁面的残渣有机物6,将导电层1表面的铜氧化膜7还原成铜,是最合适的。优选的条件为Ar:500~3000sccm、He:50~1000sccm、H2:50~1000sccm、压力:100mmTorr~5Torr、输出功率:0.5~3kW、温度:室温以上500℃以下、处理时间:20秒以上600秒以下。
边参照图10的流程图、边对基于本发明的净化方法的实施工序进行简单地说明。
在抽真空(S10)之后,通过搬入口133,将具有双层金属镶嵌结构的基板W从接着处理容器11的相邻的腔室(未图示)设置在处理容器11内(S12)。从气体源130代表性地向处理容器11内供给Ar/He/H2气体(S14)。使微波从微波发生源128传送至处理容器11内,产生等离子体(S16)(条件:在低电子温度(0.7eV~2eV)下1011~1013/cm3的高密度等离子体处理)。通过控制含氢的等离子体的产生时间,利用灰化将层间绝缘膜2、3的侧壁的残渣有机物6分解、除去(S18),并且将在通孔4的底部露出的导电层1表面的铜氧化膜7还原成铜(Cu)。然后,停止等离子体(S20)、抽真空(S22)后,将基板W排出到处理容器11之外(S24)。
此外,本发明不仅可以应用于将光致抗蚀剂等的残渣有机物6净化、除去的情况,而且也可以应用于将在钨、铜,WSi、NiSi、CoSi等金属硅化物等的配线部露出的导电层表面净化的情况。
参照附图对本发明的一个实施方式进行了说明,但本发明并不限于图示的实施方式。
产业上的可利用性
本发明可以在将半导体装置搬入处理容器11内并在处理容器11内产生含氢的等离子体以对通孔4底部的导电层1上进行净化、利用灰化将残渣有机物6分解除去并将导电层1表面上的铜氧化膜7还原成Cu的等离子体基板处理装置中利用。

Claims (4)

1.一种半导体基板导电层表面的净化方法,对放置在反应室内的半导体基板导电层表面进行净化,其特征在于:
在所述反应室内通过平面天线以He/H2的比率为0.005~20使Ar/He/H2的混合气体产生等离子体,边利用电子温度为0.7~3eV的所述等离子体还原所述基板导电层表面、边进行净化,
所述等离子体的密度是1011~1013/cm3
2.如权利要求1所述的半导体基板导电层表面的净化方法,其特征在于:
利用所述等离子体对所述基板导电层表面上存在的残渣有机物进行灰化。
3.如权利要求1或2所述的半导体基板导电层表面的净化方法,其特征在于:
在所述基板导电层表面上形成绝缘膜,在所述绝缘膜上形成使所述导电层的一部分露出的通孔,利用所述等离子体对在所述通孔底部露出的导电层表面进行净化。
4.如权利要求3所述的半导体基板导电层表面的净化方法,其特征在于:
在所述绝缘膜上进一步叠层上层绝缘膜,在该上层绝缘膜上形成露出所述通孔的配线用槽,
在形成所述上层绝缘膜后,利用所述等离子体对露出的所述导电层表面进行净化。
CNB200480035836XA 2003-12-04 2004-12-03 半导体基板导电层表面的净化方法 Expired - Fee Related CN100499030C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003406441 2003-12-04
JP406441/2003 2003-12-04

Publications (2)

Publication Number Publication Date
CN1890785A CN1890785A (zh) 2007-01-03
CN100499030C true CN100499030C (zh) 2009-06-10

Family

ID=34650253

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200480035836XA Expired - Fee Related CN100499030C (zh) 2003-12-04 2004-12-03 半导体基板导电层表面的净化方法

Country Status (7)

Country Link
US (1) US7713864B2 (zh)
EP (1) EP1691403A4 (zh)
JP (1) JPWO2005055305A1 (zh)
KR (1) KR100912321B1 (zh)
CN (1) CN100499030C (zh)
TW (1) TWI389737B (zh)
WO (1) WO2005055305A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4588391B2 (ja) * 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
KR100739988B1 (ko) * 2006-06-28 2007-07-16 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
SG10201405419XA (en) 2009-09-16 2014-10-30 Hitachi Chemical Co Ltd Copper metal film, method for producing same, copper metal pattern, conductive wiring line using the copper metal pattern, copper metal bump, heat conduction path, bonding material, and liquid composition
EP2618421A1 (en) * 2012-01-19 2013-07-24 Huawei Technologies Co., Ltd. Surface Mount Microwave System
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
CN104701261B (zh) * 2013-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US20180204729A1 (en) * 2015-08-17 2018-07-19 Ulvac, Inc. Substrate processing method and substrate processing device
US10636661B2 (en) * 2016-01-15 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for wafer bonding
PT3226258T (pt) * 2016-04-01 2019-01-09 Gebauer & Griller Metallwerk Gmbh Condutor elétrico isolado
CN105845624A (zh) * 2016-05-11 2016-08-10 上海华虹宏力半导体制造有限公司 通孔及导电插塞的制作方法
KR102355875B1 (ko) * 2017-12-18 2022-02-08 세키스이가가쿠 고교가부시키가이샤 표면 처리 방법 및 장치
US20230100602A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Methods for selective removal of contact oxides

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
TW512448B (en) * 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6350675B1 (en) * 2000-10-12 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Integration of silicon-rich material in the self-aligned via approach of dual damascene interconnects
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
JP2003224185A (ja) * 2002-01-28 2003-08-08 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4293752B2 (ja) * 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures

Also Published As

Publication number Publication date
TWI389737B (zh) 2013-03-21
JPWO2005055305A1 (ja) 2007-06-28
WO2005055305A1 (ja) 2005-06-16
KR20070085038A (ko) 2007-08-27
EP1691403A1 (en) 2006-08-16
US7713864B2 (en) 2010-05-11
CN1890785A (zh) 2007-01-03
US20070111528A1 (en) 2007-05-17
TW200524669A (en) 2005-08-01
EP1691403A4 (en) 2009-04-15
KR100912321B1 (ko) 2009-08-14

Similar Documents

Publication Publication Date Title
CN100499030C (zh) 半导体基板导电层表面的净化方法
US9633867B2 (en) Method and apparatus for anisotropic tungsten etching
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
TWI473161B (zh) 於蝕刻大縱橫比結構時用以降低微負載效應的方法
TWI416622B (zh) Etching method and memory media
JP7022651B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
KR20150128965A (ko) 구리를 위한 uv-보조 반응성 이온 에칭
US11574924B2 (en) Memory cell fabrication for 3D NAND applications
US20220005831A1 (en) Vertical transistor fabrication for memory applications
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
JP2005064302A (ja) 成膜方法、半導体装置の製造方法、半導体装置、基板処理システム
WO2008038788A1 (fr) Procédé de formation d'un film d'oxyde de silicium, appareil de traitement au plasma et support de stockage
JP4471243B2 (ja) エッチング方法およびプラズマ処理方法
TW202230511A (zh) 基板處理方法及基板處理裝置
CN100541736C (zh) 基板处理方法
JP2013048127A (ja) アッシュ後の側壁の回復
WO2004086480A1 (ja) プラズマ処理装置のクリーニング方法及びプラズマ処理装置
JP7220603B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
JPH04302143A (ja) 表面処理装置
JP2005166783A (ja) 半導体基板表面のクリーニング方法
KR20130022433A (ko) 애쉬-후 측벽 힐링
JPH04345026A (ja) プラズマ処理装置
TW201306125A (zh) 灰化後側壁修復

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090610

Termination date: 20131203