KR20030071575A - 집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및이용 - Google Patents

집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및이용 Download PDF

Info

Publication number
KR20030071575A
KR20030071575A KR10-2003-0012705A KR20030012705A KR20030071575A KR 20030071575 A KR20030071575 A KR 20030071575A KR 20030012705 A KR20030012705 A KR 20030012705A KR 20030071575 A KR20030071575 A KR 20030071575A
Authority
KR
South Korea
Prior art keywords
simulation
profile
data store
data
instance
Prior art date
Application number
KR10-2003-0012705A
Other languages
English (en)
Other versions
KR100525567B1 (ko
Inventor
니크힐 자카트다르
씽후이 니우
준웨이 바오
Original Assignee
팀버 테크놀로지스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 팀버 테크놀로지스, 인코포레이티드 filed Critical 팀버 테크놀로지스, 인코포레이티드
Publication of KR20030071575A publication Critical patent/KR20030071575A/ko
Application granted granted Critical
Publication of KR100525567B1 publication Critical patent/KR100525567B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

본 발명은 설계 및 제조 프로세스 결정의 영향에 대한 집적회로 시뮬레이션 정보를 생성하기 위한 시스템 및 방법을 포함한다. 일 실시예에서는 계측 신호, 구조물 프로파일 데이터, 프로세스 제어 파라미터, 및 IC 시뮬레이션 특성을 포함하는 프로파일에 기초한 정보의 데이터 스토어를 생성하고 사용한다.
다른 실시예는 IC 설계 및/또는 제조 프로세스의 영향을 모델링하는 테스트 격자에서 벗어난 신호를 사용하여 시뮬레이션 데이터 스토어를 생성하기 위한 시스템 및 방법이다. 애플리케이션 중 하나는 IC 상호접속의 기하학적 구조를 모델링하는 테스트 격자를 사용하여 발생된 시뮬레이션 데이터 스토어의 생성 및 사용을 포함한다. 상호접속 시뮬레이션 데이터 스토어는 제조동안 IC 디바이스의 전기적 및 열적 특성을 모니터링하기 위해 인-라인에 이용될 수 있다. 또 다른 실시예는 계측 시뮬레이터와 제조 프로세스 시뮬레이터, 디바이스 시뮬레이터, 및/또는 회로 시뮬레이터의 다양한 조합을 이용하여 시뮬레이션 데이터 스토어를 생성하고 사용하기 위한 시스템 및 방법을 포함한다. 시뮬레이션 데이터 스토어로부터의 정보는 설계 또는 제조 프로세스 단계동안 인-라인 인-시튜에서 사용될 수 있다.

Description

집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및 이용{GENERATION AND USE OF INTEGRATED CIRCUIT PROFILE-BASED SIMULATION INFORMATION}
본 출원은 2000. 11. 28.에 출원된 Jakatdar 등에 의한 "격자 프로파일의 실시간 라이브러리 생성을 위한 시스템 및 방법(System and Method for Real-Time Library Generation of Grating Profiles)"이라는 명칭의, 본 출원의 출원인에 의해 진행중이며 본 명세서에 참조로 병합된 미국 특허출원 제09/727,530호와, 2000. 1. 26.에 출원된 Jakatdar 등에 의한 "신속한 RCWA(Rigorous Coupled-Wave Analyses)를 위한 층내 계산 캐싱"이란 명칭의, 본 명세서에 참조로 병합되어 있으며, 본 출원의 출원인에 의해 공동 진행중인 미국 특허 출원 제 09/764,780호에 관한 것이다.
본 출원은 집적회로 제조의 일반적인 영역에 관한 것이며, 보다 구체적으로는 프로파일에 기초한 시뮬레이션 정보의 데이터 스토어(store)를 생성하고 이용하기 위한 방법 및 시스템에 관한 것이다.
클럭 속도의 증가와 IC 구조물 기하의 감소에 대한 요구와 더불어, 웨이퍼 설계 및 제조 프로세스 결정 효과에 대한 신속한 피드백의 필요성이 있다. 많은 전통적인 IC 제조 환경하에서는, 대개 나중에 가서야 설계자 또는 프로세스 엔지니어에 의해 설계 결정 또는 제조 프로세스 변화의 효과가 알려져, 값비싼 재제조 또는 쓸모없는 최종 제품의 결과를 가져오게 된다. IC 설계 목표는 마스크 및 IC 제조 플랜이 만들어져 IC 제조에 전달되는 설계 활동을 추진하는 것이다. IC 제조는웨이퍼의 흠 또는 결점이 주목되는 IC 시험 및 마무리에 있어서 테스트되고 또한 마무리 작업을 거친 웨이퍼를 생산하는 것이다. 통상적으로, 설계 또는 프로세스 결정의 몇가지 영향력은 이러한 점에서 설계 및 제조 그룹으로 피드백된다. 소비자에게로 제품이 선적된 후에, 설계 및 프로세스 개조에 관한 추가의 제품 피드백은 결국은 IC 설계로 되돌려진다. 웨이퍼 레벨에서 불량칩의 검출은 많은 최종 제품이 소비자에게 선적된 후에 불량 칩을 검출해내는 것보다 비용이 더 저렴하다는 것은 반도체 산업계에 주지된 사실이다. 따라서, 가능한 한 조속하게 설계 및 프로세스 변화의 영향력에 관한 정보를 제공하는 것이 필요하게 된다.
유사하게, 프로세스 제어그룹의 제작에 대한 설계 및 프로세스 결정에 관하여 즉각적인 피드백의 부족이 있다. 도 1은 IC 제조 프로세스 제어로부터 다양한 제조 영역으로의 데이터 흐름 및 제조 영역으로부터 IC 제조 프로세스 제어로의 피드백을 나타내는 종래 기술 구조도이다. IC 제조 목표(21)는 박막 프로세스, 증착, 또는 화학 기계적 연마(CMP)(25), 리소그래피(27), 에칭(29), 포토레지스트(PR) 스트리핑(33, 35), 및 주입(31), 및 열처리(37)와 IC 테스팅 및 패키징(39)과 관련된 제조 플랜(24)을 가진 IC 제조 프로세스 제어(23) 그룹을 지향한다. 프로세스 피드백(24) 및 설계 및 전체 제조 피드백(32)은 IC 제조 프로세스 제어(23) 그룹으로 보내진다. 그러나, 설계가 원하는 결과를 만들어내지 않았거나, 또는 프로세스 변화가 몇가지 중요한 구조물의 치수(CD)를 허용 가능한 범위에서 벗어나게 하였다면, 영향을 받은 웨이퍼 뱃치(batch)는 폐기되어야 한다. 따라서, 불합격된 웨이퍼를 최소화하고, 드리프트(drift) 또는 프로세스 제어 파라미터 편차로부터 프로세스 제어 파라미터를 검출하고 정정하기 위하여 IC 제조 프로세스 제어 그룹에 인-라인 정보를 제공할 필요가 있다. 현재 설계 및 제조 프로세스 시뮬레이션의 이용에 있어서도, 통상적으로 제조프로세스에 있어서의 인-라인 및/또는 초기에 유용한 정보는 불충분하다.
현재 사용되고 있는 몇가지 제조 프로세스, 디바이스 및 회로 시뮬레이터가 있다. 실시예는 상호접속 시뮬레이션, 리소그래피 시뮬레이션, 주입 시뮬레이션, 확산 시뮬레이션, 산화 시뮬레이션, 증착 및 에칭 시뮬레이션, CMP 시뮬레이션, 증착 및 리플로우 시뮬레이션, 2차원 프로세스 시뮬레이션, 및 3차원 제조 프로세스 시뮬레이션 가능한 소프트웨어 및 일련의 IC 제조 프로세스 단계 또는 하나의 단계를 시뮬레이팅할 수 있는 다른 소프트웨어들을 포함한다. 몇가지 시뮬레이터는 IC구조물의 단순한 기하학적 형태를 추정한다. 그러나, AFM, 단면 SEM(X-SEM) 및 광학 계측학 시스템에 의해 제공된 데이터는 구조물의 단면이 복합 형상인 것을 나타낸다. 이들 복합 형상 구조물은 추정된 전형적인 기하학적 형태보다는 다양한 전기적, 열적 및 성능 특성을 제공한다. 다른 시뮬레이터는 제조동안 변수의 수로 인한 제한된 결과를 가지고 복합 형상을 모델링하고자 한다. 예를 들면, 구조물 형태는 리소그래피 개구수, 파장, 포커스 노광, 포스트 노광 베이크(post exposure bake) 온도, 레지스트 두께, 반사방지 코팅 두께, 유전물질 및 사용된 제조 프로세스와 같은 프로세스 제어 파라미터에 의해 크게 영향을 받는다.
기술이 깊은 서브마이크론 (0.25 미크론 또는 그 이하)으로 향함에 따라서, 구조물 프로파일과 같은 제조 프로세스 특성, 캐패시턴스, 인덕턴스 및 저항 및 궁극적으로는 회로 특성과 같은 디바이스 특성에 관한 정확하고 빠른 정보에 대한 보다 큰 필요성이 있다. 유사하게는, 원하는 디바이스 및 회로 특성을 제공하는 원하는 IC 구조물 프로파일을 생성하는 PEB 온도, 포커스 및 노광과 같은 프로세스 제어 파라미터에 대한 빠르고 신뢰할 수 있는 정보의 필요성이 있다. 따라서, 제조 프로세스 동안 프로파일 데이터, 신호, 프로세스 제어 파라미터 및 프로세스 특성에 대한 정보를 유용하게 하기 위한 방법 및/또는 시스템에 대한 필요성이 있다. 선택적으로, 구조물 프로파일 또는 프로세스 특성 타겟이 주어진다면, 원하는 결과를 제공하는 프로세스 제어 파라미터 값에 대한 빠른 정보의 필요성이 있다. 예컨대, 필요한 전기적, 열적 그리고 성능 특성을 전달하는 원하는 프로파일을 가진 구조물을 제조하는데 요구되는 PEB 온도, 시간, 개구수 및 포커스의 조합을 아는 것이 유리하다.
도 1은 IC 제조 프로세스 제어부로부터 다양한 제조 영역으로의 데이터의 흐름 및 제조 영역으로부터 IC 제조 프로세스 제어부로의 피드백을 도시한 종래 기술의 구성적인 도면.
도 2는 리소그래피 시뮬레이터에서 통상적으로 발생된 특징 프로파일 대 실제 리소그래피 프로세스 단계의 층 특징 프로파일을 대조시킨 종래 기술의 구성적인 도면.
도 3은 상호접속 시뮬레이터에서 발생된 통상적인 상호접속 횡단면 대 실제 리소그래피 및 에칭 프로세스의 상호접속 횡단면을 대조시킨 종래 기술의 구성적인 도면.
도 4는 주기적인 구조의 프로파일을 결정하기 위하여 광학 계측 시스템의 사용을 도시한 구성적인 도면.
도 5a는 본 발명의 일 실시예에서 디바이스 시뮬레이터를 사용하여 시뮬레이션 데이터 스토어의 생성을 도시한 구성적인 도면.
도 5b는 본 발명의 일 실시예에서 상호접속 시뮬레이션 데이터 스토어의 생성을 도시한 구성적인 도면.
도 6a는 본 발명의 일 실시예에서 제조 프로세스 시뮬레이터를 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 도시한 구성적인 도면.
도 6b는 본 발명의 일 실시예에서 제조 및 디바이스 시뮬레이션을 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 도시한 구성적인 도면.
도 6c는 볼 발명의 일 실시예에서 프로세스 제조 및 회로 시뮬레이터를 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 도시한 구성적인 도면.
도 7a는 본 발명의 일 실시예에서 시뮬레이션 데이터 스토어의 인-라인 사용 및 문의를 도시한 구성적인 도면.
도 7b는 본 발명의 일 실시예에서 다양한 제조 단계에서 시뮬레이션 데이터 스토어의 인-시튜(in situ) 사용을 도시한 구성적인 도면.
도 8a는 본 발명의 일 실시예에서 프로파일 라이브러리 데이터를 사용하여 프로파일에 기초한 시뮬레이션 데이터를 생성하기 위한 동작 단계의 흐름도.
도 8b는 본 발명의 일 실시예에서 테스트 격자를 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하기 위한 동작 단계의 흐름도.
도 9a는 본 발명의 일 실시예에서 프로파일에 기초한 시뮬레이션 데이터 스토어의 본래 위치 사용을 위한 동작 단계의 흐름도.
도 9b는 본 발명의 일 실시예에서 프로파일에 기초한 시뮬레이션 데이터 스토어의 온라인 문의 사용을 위한 동작 단계를 도시한 흐름도.
도 10은 본 발명의 일 실시예에서 프로파일에 기초한 시뮬레이션 데이터 스토어의 데이터 스토어 포맷을 도시한 도면.
도 11a는 마스크 CD로부터 전기 CD의 차이(ΔW) 및 광학 측장 CD의 상관성을 도시한 그래프
도 11b는 CD 모니터되거나 모니터하지 않은 프로파일과 비교하여 전체-프로파일 포니터된 제조 프로세스를 위한 하부 CD 및 특징 측벽 각의 보다 적은 변화를 도시한 두 개의 그래프.
본 발명은 프로파일에 기초한 시뮬레이션 정보의 데이터 스토어를 생성하고이용하기 위한 방법 및 시스템을 포함한다. 일실시예에서, 계측 디바이스, 구조물 프로파일 데이터, 프로세스 제어 파라미터 및 제조 특성에 의해 측정된 신호를 포함하는 프로파일에 기초한 정보의 데이터 스토어를 생성하고 이용하는 것을 포함한다. 데이터 스토어로부터의 정보는 제조 프로세스 설비를 가진 설계 또는 제조 프로세스 및/또는 인-시튜 동안 인-라인에 이용될 수 있다.
다른 실시예는 IC에 대한 상호접속 기하학적 구조를 모델링하는 테스트 격자(grating)를 이용하여 상호접속 시뮬레이션 데이터 스토어를 생성하는 방법이다. 상호접속 시뮬레이션 데이터 스토어는 제조동안 IC의 전기적 및 열적 특성을 모니터링하기 위해 인-라인에 이용될 수 있다. 선택적으로, 시뮬레이션 데이터 스토어 서버는 소정의 제조 프로세스를 위한 IC 설계에서 필요한 상호접속의 전기적 특성을 만족시키는 프로세스 제어 파라미터에 관한 정보를 제공한다.
또다른 실시예는 계측 시뮬레이터 및 제조 프로세스 시뮬레이터를 이용하여 시뮬레이션 데이터 스토어를 생성하기 위한 방법 및 시스템을 포함한다. 제조 프로세스 시뮬레이터는 리소그래피, 주입, 확산, 산화, 증착 및 에칭, CMP, 증착 및 리플로우, 2차원 프로세스, 3차원 프로세스 시뮬레이터 또는 이들 프로세스의 조합을 시뮬레이팅할 수 있다. 프로세스 제어 파라미터의 범위 및 이들 프로세스 제어 파라미터 편차를 근거로, 구조물 프로파일 데이터는 제조 프로세스 시뮬레이터를 이용하여 생성된다. 시뮬레이팅된 구조물 프로파일 데이터는 계측 시뮬레이터를 이용하여 신호로 변환된다. 시뮬레이션 데이터 스토어 발생기는 프로세스 제어 파라미터의 편차 및 관련 신호, 프로파일 데이터 및 제조 특성을 저장하는 데이터 스토어인스턴스(instance)들을 생성한다. 다른 실시예는 계측 시뮬레이터 및 결합된 프로세스 및 디바이스 시뮬레이터 또는 결합된 프로세스, 디바이스 및 회로 시뮬레이터를 이용하여 시뮬레이션 데이터 스토어를 생성하기 위한 방법 및 시스템을 포함한다. 시뮬레이션 데이터 스토어로부터의 정보는 각각의 제조 프로세스 단계에 있어서 인-시튜 인-라인에 이용될 수 있고, 설계, 제조단계, 수율 또는 프로세스 드리프트를 수정하기 위한 정보를 개선하도록 최첨단의 적절한 정보를 제공한다.
본 발명은 프로파일에 기초한 시뮬레이션 정보의 데이터 스토어를 생성하여 사용하기 위한 방법 및 시스템을 포함한다. 도 2 및 3은 시뮬레이션 프로파일 대 구조의 실제 프로파일 사이의 차이를 도시한 것이다. 도 4 내지 8c는 프로파일에 기초한 시뮬레이션 데이터 스토어를 위한 생성 프로세스의 실시예를 도시한 것이다. 도 9a 및 9b는 프로파일에 기초한 시뮬레이션 정보를 사용하기 위한 실시예를 도시한 것이다. 도 10은 시뮬레이션 데이터 스토어의 한 포맷을 도시한 것이지만, 도 11a 및 11b는 본 발명의 개념 및 원리의 사용을 도시하는 실제적인 데이터를 나타낸다.
도 2는 실제 리소그래피 프로세스 단계의 층 특징 프로파일 대 통상적인 리소그래피 시뮬레이터에서 발생된 특징 프로파일을 대조시킨 종래 기술의 구조적인 도면이다. 리소그래피 시뮬레이터(73)는 스핀/코팅(61), 소프트 베이크(soft bake)(63), 노광 (65), 포스트 노광 베이크(67), 및 현상(69) 프로세스를 포함하는 실제 물리적인 프로세스를 시뮬레이팅한다. 실제 구조 프로파일(71)은 리소그래피 시뮬레이터(73)에 의해 통상적으로 표시된, 이상적인 형태의 설계 구조프로파일(75)이 아닌, 라운딩 된 상부와 하부에서 푸팅(footing)을 가지는 형태의 더 복잡한 프로파일을 가질 수 있다. 마름모, T-상부, 및 언더컷되고, 라운딩된 상부, 푸팅을 가지거나 푸팅이 없는 T-상부와 같은 직사각형이 아닌 구조를 갖는 회로의 전기적인 특성은 일부 제조 프로세스 시뮬레이터에서 통상적으로 가정된 간단한 기하학적 구조의 전기적인 특성과는 상이하다.
마찬가지로, 도 3은 실제 리소그래피, 에칭 및 금속화 프로세스 단계의 상호접속 횡단면 대 통상적인 상호접속 시뮬레이터에서 발생된 상호접속 횡단면을 대조시킨 종래 기술의 구성적인 도면이다. 상호접속 설계(81), 제조(83), 및 테스팅(85)의 단계 이후에 생성된 제조된 상호접속 횡단면(87)은 통상적으로 형태가 불규칙적이다. 제조된 상호접속의 형태는 전도성 재료 및 관련된 유전체 재료의 기하학적 형태에 의해 영향을 받는다. 제조된 상호접속 횡단면(87)을 갖는 상호접속 구조의 전기 및 열적 특성은 상호접속 시뮬레이터(73)에 의해 통상적으로 가정되는 직사각형 설계 상호접속 횡단면(91)의 전기 및 열적 특성과 상이하다. 소정의 전류는 서브마이크론 프로세스 기술을 향해 구동되어 클럭 속도를 증가시키기 때문에, 상호접속은 본질적으로 고속 시스템의 전체 동작 성능을 제어한다. 상호접속의 기하학적 형태는 IC의 전기 성능에 상당한 영향을 갖는다. 원자력 현미경(AFM)이 상호접속 프로파일 정보를 제공할 수 있을지라도, AFM은 느리며 패터닝되지 않은 층에 대한 정보를 제공할 수 없다. 임계치수 스캐닝 전자 현미경(CD-SEM)은 임계 치수를 제공할 수 있지만, 프로파일 정보 또는 패터닝되지 않은 층에 관한 데이터를 제공할 수 없다.
본 발명의 서술을 용이하게 하기 위하여, 광학 계측 시스템이 사용되어 개념 및 원리를 설명한다. 동일한 개념 및 원리가 이하에 서술되는 바와 같은 다른 IC 계측 시스템에 동등하게 적용된다. 계측 시스템은 광학, 전기, 전자 또는 기계 계측 시스템일 수 있다. 광학 계측 시스템의 예는 분광기 엘립소미터(spectroscopic ellopsometer), 반사미터(reflectometer) 등등과 같은 스캐터로미터 디바이스(scatterometer device)를 포함한다. 전자 계측 시스템의 예는 CD-스캐닝 전자 현미경(CD-SEM), 투과 전자 현미경(TEM), 및 포커싱된 이온 빔(FIB) 디바이스를 포함한다. 기계 계측 시스템의 일예는 원자력 현미경(AFM)을 포함하는 반면, 전자 계측 시스템의 일예는 캐패시턴스-측정 유닛을 포함한다. 본 출원에서 사용된 바와 같이, 계측 신호는 광 신호, 이온 빔, 전자 빔 또는 다른 이와같은 신호일 수 있다.
도 4는 주기적인 구조의 프로파일을 결정하기 위하여 광학 계측 시스템의 사용을 도시한 구성적인 도면이다. 광학 계측 시스템(40)은 계측 플랫폼(55) 상에 장착된 웨이퍼(47)의 타깃 주기 구조물(terget periodic structure)(53)에서 신호를 발사하는 계측 신호 소스(41)를 포함한다. 계측 신호는 타깃 주기 구조물(53) 쪽으로의 입사각( θ)으로 발사된다. 반사된 신호(49)는 계측 신호 수신기(51)에 의해 측정된다. 반사된 신호 데이터(57)는 계측 프로파일러 시스템(59)으로 전송된다. 계측 프로파일러 시스템(59)은 해상도(resolution) 및 타깃 주기 구조물의 임계 치수의 가변 조합을 나타내는 계산된 반사 신호 데이터의 라이브러리에 대해 측정된 반사 신호 데이터 (57)를 비교한다. 측정된 반사 신호 데이터(57)에 가장잘 매칭되는 라이브러리 인스턴스가 선택된다. 프로파일 및 관련된 라이브러리 인스턴스의 임계 치수는 횡단면 프로파일 및 타깃 주기 구조물(53)의 특징들의 임계 치수에 대응한다. 유사한 광학 계측 시스템(40)이 참조로 사용되고, 본 건의 양수인에 속하며, 2000, 11월 28일 출원된 Jakatdar 등의 "System and Method for Real-Time Libary Generation of Grating Profiles"로 명명된 미국 특허 출원 시리즈 번호 09/727,530에 설명된다.
도5A는 본 발명의 일실시예에서 디바이스 시뮬레이터를 사용하는 시뮬레이션 데이터 스토어 생성을 도시한 구조적 도면이다. 시뮬레이션 데이터 스토어(100)의 프로파일에 기초한 생성은 IC 제조 단계가 설계된 제조 프로세스 설계자(101)를 포함한다. 테스트 회절 격자 마스크의 세트는 주요한 특징 또는 관심 영역의 특성을 포착하기 위해 테스트 회절 격자 마스크 설계자(103)에서 설계된다. 예를 들어, 관심 영역이 상호접속의 캐패시턴스인 경우, 설계된 테스트 회절 격자 마스크의 세트는 다양한 상호접속 기하학적 정보를 포착한다. 상호접속 기하학적 정보는 웨이퍼에서 구조의 프로파일을 포함한다. IC 제조자(105)는 계측 디바이스(107)에 의해 측정된 테스트 구조를 만들기 위해 테스트 회절 격자 마스크의 세트를 사용한다. 광학 계측 디바이스 또는 비광학 계측 디바이스일 수도 있는 계측 디바이스(107)는 테스트 회절 격자에서 벗어난 신호를 측정하고 측정된 신호를 프로파일러 애플리케이션 서버(109)로 전송한다. 프로파일러 애플리케이션 서버(109)는 테스트 구조에서 벗어난 신호를 예상된 구조물 프로파일 임계 치수 및 해상도의 범위를 커버링하는 프로파일 라이브러리(110)에서 계산된 신호와 비교한다. 프로파일러 애플리케이션 서버(109)는 프로파일 라이브러리(110)의 계산된 신호로부터 최적 매칭 프로파일 라이브러리 인스턴스를 선택한다. 일 실시예에서, 측정된 회절 계측 신호의 최적 매칭은 회절된 계측 신호에 비교된 최소한의 에러를 가지는 것 중의 하나이다. 몇몇 최적화 절차는 "Numerical Recipes," selection 10.9, Press, Flannery & Vetterling, Cambridge University Press, 1986에 설명된 시뮬레이팅된 어닐링과 같은 에러를 최소화하기 위해 사용가능하며, 이는 참조로 사용된다. 적절한 결과를 초래하는 하나의 에러 미터법(metric)은 제곱차 에러의 합이며, 여기서 최적화 절차는 측정된 회절 계측 신호와 계산된 회절 계측 신호 사이의 에러 미터법을 최소화한다. 구조물 프로파일 임계 치수 및 해상도의 범위에 대한 프로파일 라이브러리에 대해 계산된 신호를 생성하고 계산된 신호 라이브러리로부터 최적 매칭 라이브러리 인스턴스를 선택하기 위한 상세한 절차는 본 명세서에서 참조되고, 2000년 11월 28일에 출원된 Jakatdar 등에 의한 "System and Method for Real-Time Library Generation of Grating Profiles"로 명명된 미국특허출원 시리즈 번호 09/727,530에 포함된다.
도5A를 참조하여, 최적 매칭 프로파일 라이브러리 인스턴스의 프로파일 데이터는 디바이스 시뮬레이터(113)로 전송된다. 프로파일 데이터는 임계 치수, 프로파일 형태 설명 및 프로파일 그래픽 표현을 포함한다. 임계 치수는 예를 들어 50 나노미터의 폭인 측정 치수로 통상적으로 표현된다. 택일적으로 임계 치수는 예를들어 구조물의 상부가 구조물의 높이 80%에서 라운딩되기 시작하는 것을 의미하는 상부 라운딩의 80% 높이와 같은 다른 임계 치수의 퍼센트로서 표현된다. 프로파일설명의 예는 상부 라운딩 프로파일을 구비한 사다리꼴이다. 프로파일 그래픽 표현의 예는 프로파일의 비트맵이다. 디바이스 시뮬레이터(113)는 전기적, 열적, 노이즈, 3D 효과, 정적 또는 과도적 상태 신호, 누설 및/또는 광학적 특성을 시뮬레이팅하는 소정 형태의 디바이스 시뮬레이터일 수 있다. 디바이스 시뮬레이터의 예는 Raphael.TM, Medici.TM, ATLAS.TM, 및 Technology Modeling Associates, and Silvaco Internation과 같은 회사의 TMA-Visual.TM이다. 계산된 신호 라이브러리로부터의 최적 매칭 라이브러리 인스턴스의 프로파일 임계 치수는 프로파일러 애플리케이션 서버(109)에 의해 추출되고 디바이스 시뮬레이터(113)로 전송된다. 디바이스 시뮬레이터(113)는 시뮬레이션 실행 및 결과 디바이스 특성에서 사용된 프로세스 제어 파라미터의 세트를 출력으로서 생성한다. 예를 들어, 만일 디바이스 시뮬레이터가 상호접속 시뮬레이터인 경우, 입력은 나노미터 단위, 및 사용된 상호접속 시뮬레이터에 의해 요구된 포맷에서 각도 단위의 측벽각으로 CD의 상부 및 하부일 수도 있다. 디바이스 시뮬레이터(113)의 출력은 오옴 단위의 저항, 패럿 단위의 캐패시턴스, 및 헨리 단위의 인던턴스를 포함하는 디바이스 특성이다. 시뮬레이션 데이터 스토어 발생기(111)는 신호, 프로파일 데이터, 시뮬레이션 형태, 및 특정 디바이스 시뮬레이션과 관련한 디바이스 특성을 포함하는 데이터 스토어 인스턴스를 생성한다. 시뮬레이션 형태는 예를 들어 디바이스 시뮬레이션과 같이 수행되는 시뮬레이션의 특성 표시이다. 시뮬레이션 형태의 부분 리스트는 도10에 포함된다.
도5B는 본 발명의 일 실시예에서 상호접속 시뮬레이션 데이터 스토어의 생성을 도시한 구조적 도면이다. 시뮬레이션 데이터 스토어(120)의 프로파일에 기초한 생성은 IC 제조 단계가 설계된 제조 프로세스 설계자(121)를 포함한다. 테스트 회절 격자 마스크의 세트는 다양한 상호접속 기하학적 정보를 포착하는 테스트 회절 격자 마스크 설계자(123)에서 설계된다. 상호접속 기하학적 정보는 웨이퍼에서의 구조 프로파일을 포함한다. IC 제조자(125)는 계측 디바이스(127)에 의해 측정된 테스트 구조물을 만들기 위해 테스트 회절 격자 마스크의 세트를 사용한다. 반사미터, 엘립소미터 또는 다른 비광학적 계측 디바이스일 수도 있는 계측 디바이스(127)는 테스트 구조물에서 벗어난 회절된 신호를 측정하고 측정된 신호를 프로파일러 애플리케이션 서버(129)로 전송한다. 프로파일러 애플리케이션 서버(129)는 테스트 구조물에서 벗어난 측정된 신호를 예상된 구조물 프로파일 임계 치수의 범위 및 해상도를 커버링하는 라이브러리(130)에서 생성된 신호와 비교한다. 프로파일러 애플리케이션 서버(129)는 라이브러리로부터 최적 매칭 라이브러리 인스턴스를 선택한다. 최적 매칭 라이브러리 인스턴스의 프로파일 CD는 프로파일러 애플리케이션 서버(129)에 의해 추출되고 상호접속 시뮬레이터(133)로 전송된다. 상호접속 시뮬레이터(133)는 시뮬레이션 실행 및 디바이스 특성에 사용된 프로세스 제어 파라미터의 세트를 출력으로서 생성한다. 상호접속 시뮬레이터의 예는 Raphael.TM, 및 Atlas.TM을 포함한다. 상호접속 시뮬레이터(133)의 출력은 오옴 단위의 저항, 패럿 단위의 캐패시턴스 및 헨리 단위의 인덕턴스와 같은 디바이스 특성을 포함한다. 시뮬레이션 데이터 스토어 발생기(131)는 신호, 프로파일 데이터, 시뮬레이션 형태, 및 디바이스 특성을 포함하는 각각의 테스트 회절 격자에 대한 시뮬레이션 데이터 스토어(135)에서 데이터 스토어 인스턴스를 생성한다. 시뮬레이션 형태는 이 경우 상호접속 디바이스 시뮬레이션이며, 디바이스 특성은 상호접속 디바이스 시뮬레이션과 관련한다. 시뮬레이션 데이터 스토어의 배치의 예는 도10에 도시된다.
도6A 내지 6C의 경우, 실시예의 설명을 용이하게 하기 위해 동일한 도면부호가 유사한 대상물에 사용된다. 도6A는 본 발명의 일실시예에서 제조 절차 시뮬레이터를 사용하는 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 도시하는 구조적 도면이다. 시뮬레이션 데이터 스토어(130)의 프로파일에 기초한 생성은 제조 프로세스 시뮬레이터(133)로의 프로세스 제어 파라미터(132)의 입력으로 시작한다. 프로세스 제어 파라미터의 예는 노출 시간, 수를 가진 개구, 및 리소그래픽 프로세스 시뮬레이션에서의 PEB 온도를 포함한다. 제조 프로세스 시뮬레이터(133)는 박막, 리소그래피, 주입, 확산, 산화, 증착, 에칭, CMP 프로세스 또는 프로세스들의 조합을 시뮬레이팅하는 소정 절차의 프로세스일 수도 있다. 프로세스 제어 파라미터(132)를 사용하여, 제조 프로세스 시뮬레이터(133)는 층의 기하학적 구조를 포함하는 제조 특성(134)을 생성한다. 프로세스 제어 파라미터(132) 및 제조 특성(134)은 시뮬레이션 데이터 스토어 발생기(139)로 전송된다. 제조 특성(134)은 계측 시뮬레이터(137)로 전송된다. 구조물의 프로파일에 속하는 제조 특성은 제조 프로세스 시뮬레이터(133)에 의해 생성된 구조의 프로파일에 대응하는 회절 신호를 생성하는 계측 시뮬레이터(137)에 의해 사용된다. 예를 들어, 제조 프로세스 시뮬레이터(133)는 리소그래피, 에칭, 또는 조합된 리소그래피 및 에칭 시뮬레이터일 수도 있다. 프로세스 제어 파라미터(132)는 막두께, 베이크 시간, 노광, PEB 시간, PEB 온도, 세척 시간, 및/또는 에칭 속도 및 에천트의 형태를 포함한다. 제조 특성(134)은 패턴화된 구조물 프로파일 형태 및 상부 CD, 하부 CD, 높이 및/또는 측벽 각과 같은 임계 치수(CD)를 포함한다.
도6A를 참조하면, 제조 특성(134)으로부터, 계측 시뮬레이터(137)는 프로파일 데이터를 추출하고 전송된 프로파일 형태 및 CD를 갖는 회절 격자로부터 분리된 신호에 대응하는 신호들을 계산한다. 계측 시뮬레이터(137)가 광학 계측 시뮬레이터인 경우, 신호는 시뮬레이팅된 회절 신호이다. 2000년 1월 26일 Jakatdar 등에 의해 출원된 미국특허출원 시리즈 번호 제09/764,780호 "신속한 RCWA(Rigorous Coupled-Wave Analyses)를 위한 층내 계산 캐싱"의 시뮬레이팅된 회절 신호의 계산에 대한 설명은 본 명세서에서 참조되어 결합된다. 시뮬레이션 데이터 스토어 발생기(139)는 제조 프로세스 시뮬레이터(133) 및 계측 시뮬레이터(137)로부터의 프로세스 제어 파라미터(132) 및 입력 데이터를 처리하여 시뮬레이션 데이터 스토어(149) 인스턴스를 생성한다. 시뮬레이션 데이터 스토어 인스턴스는 신호, 프로파일 데이터, 시뮬레이션 형태, 프로세스 제어 파라미터, 및 프로세스 시뮬레이션과 관련된 제조 특성을 포함한다. 시뮬레이션 형태는 상기 실시예에서는 제조 프로세스 시뮬레이션에서 수행된 시뮬레이션의 특성 표시이다. 시뮬레이션 형태의 부분적 리스트가 도 10에 도시되어 있다.
도 6B는 본 발명의 일 실시예에 따른 제조 및 디바이스 시뮬레이터를 이용한 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 설명하는 블록도이다. 프로세스 시뮬레이터 및 디바이스 시뮬레이터를 이용한 시뮬레이션 데이터 스토어(140)를 생성하기 위한 프로파일에 기초한 시스템은 제조 특성을 포함하는 제조 프로세스 시뮬레이터(133)로부터의 출력이 디바이스 시뮬레이터(135)로 전송된다는 것을 제외하고는 도 6A에 도시된 프로세스와 동일하다. 디바이스 시뮬레이터(135)는 디바이스 시뮬레이션을 수행하기 위하여 제조 특성을 이용하고 시뮬레이션 데이터 스토어 발생기(139)로 디바이스 특성(136)을 전송한다. 시뮬레이션 데이터 스토어 발생기(139)는 프로세스 제어 파라미터(132) 및 제조 특성(134)을 포함하는 제조 프로세스 시뮬레이터(133)로부터의 입력 데이터, 계산된 회절 신호(142)를 포함하는 계측 시뮬레이터(137)로부터의 데이터, 및 디바이스 특성(136)을 포함하는 디바이스 시뮬레이터(135)로부터의 데이터를 처리하여 시뮬레이션 데이터 스토어(149)를 생성한다. 시뮬레이션 데이터 스토어(149) 인스턴스는 신호, 프로파일 데이터, 시뮬레이션 형태, 프로세스 제어 파라미터, 제조 특성, 및 디바이스 특성을 포함한다. 이 경우 시뮬레이션 타입은 제조 프로세스 및 디바이스 시뮬레이션과 결합된다. 예를 들어, 제조 프로세스 시뮬레이터는 리소그래피 및 에칭 프로세스 시뮬레이터와 결합될 수 있는 한편, 디바이스 시뮬레이터는 상호접속 시뮬레이터와 결합될 수 있다. 시뮬레이팅될 수 있는 다른 디바이스로는 다이오드, 트랜지스터, 광학 장치, 전원 장치, 또는 광학 검출기 등을 들 수 있다. 도 10에는 시뮬레이션 데이터 스토어의 배치를 도시한다. 상기 예에서, 시뮬레이션 데이터 스토어는 몇몇 형태의 문의에 대한 응답에 소정의 데이터를 제공할 수 있다. 만약 소정의 데이터가 디바이스의 원하는 캐패시턴스이면, 시뮬레이션 데이터 스토어는 상호접속의 요구되는 프로파일을 제공할 수 있다. 유사하게, 만약 소정의 데이터가 상호접속의 프로파일이면, 시뮬레이션 데이터는 개구 수, 차단 시간, PEB 온도, 에칭 시간 또는 에천트 종류 등과 같은 상응하는 프로세스 제어 파라미터를 제공한다. 하기에 논의되는 소정의 데이터의 많은 다른 변형들은 공식화되어 원하는 문의 응답을 제공할 수 있다.
도 6B를 참조하면, 제조 프로세스 시뮬레이터(133) 및 디바이스 시뮬레이터(135)는 별개의 대상물일 수 있으며 또는 단일 또는 단일 소프트웨어 패키지에 결합될 수 있다. 결합된 프로세스 및 디바이스 시뮬레이터의 예로는 Silvaco International에서 제조한 Victory.TM 및 Syborg Systems, Inc.에서 제조한 Microtec.TM을 들 수 있다.
도 6C는 본 발명의 일 실시예에 따른 제조 프로세스, 디바이스, 및 회로 시뮬레이터를 이용한 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 설명하는 블록도이다. 제조 프로세스 시뮬레이터, 디바이스 시뮬레이터, 및 회로 시뮬레이터를 이용한 시뮬레이션 데이터 스토어(150)를 생성하기 위한 프로파일에 기초한 시스템은 디바이스 특성(136)을 포함하는 디바이스 시뮬레이터(135)로부터의 출력이 회로 시뮬레이터(141)로 전송된다는 것을 제외하고는 도 6B에 도시된 프로세스와 동일하다. 회로 시뮬레이터(141)는 회로를 형성하는 몇몇 디바이스의 디바이스 특성을 이용한다. 예를 들면, 전송 라인은 회로를 형성하기 위하여 몇몇 상호접속 디바이스를 포함한다. 시뮬레이팅될 수 있는 회로로는 전송 라인, 저항기, 캐패시터, 인덕터, 증폭기, 스위치, 다이오드, 또는 트랜지스터 등을 들 수 있다. 회로를 형성하기 위한 선택된 디바이스의 결합이 시뮬레이팅되고, 시뮬레이션은 회로 시뮬레이터(141)로 회로 시뮬레이션을 실행하는데 사용되는 디바이스 특성(136)을 생성한다. 회로 시뮬레이터(141)는 회로 특성(138)을 생성하여 시뮬레이션 데이터 스토어 발생기(139)로 전송한다. 시뮬레이션 데이터 스토어 발생기(139)는 프로세스 제어 파라미터(132) 및 제조 특성(134)을 포함하는 제조 프로세스 시뮬레이터(133)으로부터의 입력 데이터, 계산된 회절 신호(142)를 포함하는 계측 시뮬레이터(137)로부터의 데이터, 디바이스 특성(136)을 포함하는 디바이스 시뮬레이터(135)로부터의 데이터, 및 회로 특성(138)을 포함하는 회로 시뮬레이터(141)로부터의 데이터를 처리하여 시뮬레이션 데이터 스토어(149)를 생성한다. 시뮬레이션 데이터 스토어(149) 인스턴스는 신호, 프로파일 데이터, 시뮬레이션 형태, 프로세스 제어 파라미터, 제조 특성, 디바이스 특성, 및 회로 특성을 포함한다. 이 경우 시뮬레이션 형태는 제조 프로세스, 디바이스, 및 회로 시뮬레이션과 결합된다. 시뮬레이션 형태의 부분적 리스트가 도 10에 도시되어 있다.
도 6C를 참조하면, 제조 프로세스 시뮬레이터(133), 디바이스 시뮬레이터(135), 및 회로 시뮬레이터(141)는 별개의 대상물일 수 있으며 또는 단일 대상물 또는 단일 소프트웨어 패키지에 결합될 수 있다. 회로 시뮬레이터의 예로는 SPICE.TM, SPICE.TM의 다양한 응용물, SPECTRE.TM, APLAC.TM, 및 PROTOLAB.TM을 들 수 있다. 결합된 전체 프로세스 시뮬레이터의 예는 Silvaco International에서 제조한 ATHENA.TM을 들 수 있다.
본 발명의 개념 및 원리는 결합된 디바이스 및 회로 시뮬레이션과 같은 다른결합 시뮬레이터에도 적용될 수 있다. 시뮬레이션 데이터 스토어의 생성은 유사한 방식으로 수행될 수 있다. 유사하게, 디바이스 시뮬레이터 및 회로 시뮬레이터는 별개의 대상물일 수 있으며 또는 단일 대상물 또는 단일 소프트웨어 패키지에 결합될 수 있다. 결합된 디바이스 및 회로 시뮬레이터 예로는 MEDICI.TM, TOPSPICE.TM, CIDER.TM, 및 SIMPLORER.TM을 들 수 있다.
도 7A는 본 발명의 일 실시예에 따른 시뮬레이션 데이터 스토어의 문의 및 인-라인 사용을 설명하는 블록도이다. 문의 디바이스(201)로부터의 문의는 시뮬레이션 데이터 스토어 서버(207)로 전송되고, 시뮬레이션 데이터 스토어 서버(207)는 문의를 분석하고 시뮬레이션 데이터 스토어(215)의 인스턴스에 액세스하며 응답(205)를 공식화한다. 시뮬레이션 데이터 스토어 서버(207)는 또한 응답(209)을 생성하는 인-라인 질문(209)에 의해 야기될 수 있다. 일 실시예에서, 질문(209)은 응답(213)을 생성하는 인-라인 질문 디바이스(211)로부터 유래될 수 있다. 질문(209)은 소정의 데이터 질문과 문의 형태를 포함한다. 소정의 데이터 질문과 문의 형태에 따라서, 시뮬레이션 데이터 스토어 서버(207)는 적절한 시뮬레이션 데이터 스토어(215)의 인스턴스를 검색하며 응답(213)을 공식화하고 전송한다. 인-라인 질문 디바이스(211)는 컴퓨터 시스템의 일부 또는 IC 제조 시스템의 일부일 수 있다. 문의 디바이스(201)는 단독 표준 디바이스이거나 또는 시스템의 일부일 수 있다. 더 나아가, 문의 디바이스(201)는 로컬 디바이스이거나 또는 네트워크를 통해 액세스가능한 디바이스일 수 있다.
도 7B는 본 발명의 일 실시예에 따른 다양한 제조 단계에서의 시뮬레이션 데이터 스토어의 인-시투 사용을 설명하는 블록도이다. 시뮬레이션 데이터 스토어(255)에 결합된 시뮬레이션 데이터 스토어 서버(250)는 제조 시스템의 일부일 수 있으며, 시뮬레이션 데이터 스토어 서버(250)는 즉각적인 인-시투 프로파일에 기초한 시뮬레이션 정보를 제공한다. 시뮬레이션 데이터 스토어 서버(250)는 박막 프로세스, 증착 및 CMP(225), 리소그래피(227), 에칭(229), 에칭후 포토레지스트 스트리핑(233), 주입 후 포토레지스트 스트리핑(235), 및/또는 열 프로세스(237) 디바이스와 결합될 수 있다. 시뮬레이션 데이터 스토어 서버(250)는 국부적으로 또는 멀리 떨어져서 제조 디바이스에 연결될 수 있다. 시뮬레이션 데이터 스토어 서버(250)는 몇몇 분리된 서버들 또는 하나의 중앙집중식 서버가 될 수 있다. 웨이퍼에서 테스트 구조 또는 테스트 격자들은 제조 단계동안 또는 그 이후에 집적화된 계측 디바이스(미도시)에 의해 측정될 수 있다. 계측 측정은 측정된 신호를 생성하는데, 상기 신호는 소정의 데이터 질문으로서 사용될 수 있으며 시뮬레이션 데이터 스토어 서버(250)로 전송될 수 있다. 시뮬레이션 데이터 스토어 서버(250)는 문의 형태 및 소정의 데이터 질문에 기초하여 인-시투/인-라인 응답을 생성할 수 있다. 예를 들면, 포토레지스터 스트리핑 단계동안 또는 그 이후에, 만약 제조 디바이스로부터의 문의 형태가 테스트 격자에 의해 모델링된 IC 구조물의 전기적 특성을 위한 것이고 소정의 데이터 질문이 테스트 격자에서 벗어난 회절된 신호라면, 시뮬레이션 데이터 스토어 서버(250)는 테스트 격자에 의해 모델링된 IC 구조물의 컨덕턴스, 캐패시턴스, 및/또는 저항을 포함하는 응답을 공식화할 것이다. 리소그래피 단계 이후에 또 다른 예로서, 만약 문의 형태가 테스트 격자에서 벗어난 측정된 신호와 관련된 프로세스 제어 파라미터를 위한 것이라면, 시뮬레이션 데이터 스토어 서버(250)는 차단 시간, 차단 온도, 촛점, 및 PEB 시간 및 온도를 포함하는 응답을 공식화할 것이다. 하기에 논의되는 바와 같이, 문의 형태 및 소정의 데이터 질문의 많은 다른 결합들이 요구되는 특정 응답을 얻기 위하여 데이터 스토어 서버(250)로 전송될 수 있다.
도 8A는 본 발명의 일실시예에서 프로파일 라이브러리 데이터를 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어 생성을 위한 동작 단계의 흐름도이다. 프로파일 라이브러리를 위한 패턴화된 구조물의 프로파일 형태의 예상되는 프로파일 데이터 범위 및 해상도가 결정된다(300). 예를들어, 사다리꼴 프로파일 형태는 상부 CD, 하부 CD, 격자 두께, 굴곡부에서의 높이 및 폭, 및 나노미터의 하부 두께를 특징으로 한다. 프로파일 데이터 범위는 최소, 최대, 및 상부 CD에 대한 해상도, 하부 CD, 격자 두께, 높이 등등을 포함한다. 프로파일 형태의 여러 해상도에서 프로파일 데이터 범위는 시뮬레이트된 회절된 신호를 계산하여 프로파일 라이브러리를 생성하기 위하여 사용된다(320). 구조물 프로파일 임계 치수 및 해상도 범위에 대한 프로파일 라이브러리를 생성하기 위한 상세한 절차는 여기에 참조로서 통합되고 2000년 11월 28일에 출원된 Jakatdar 등에 의한 "격자 프로파일의 실시간 라이브러리 생성을 위한 시스템 및 방법"이 제목인 공동 계류중인 미국특허출원 09/727,530에 포함된다.
예상되는 프로파일 형태에 대한 프로파일 데이터 범위는 디바이스 시뮬레이터 입력으로 변환된다(330). 예를들어, 만약 디바이스 시뮬레이터가 상호접속 시뮬레이터이면, 예상되는 프로파일 형태 치수는 Raphael(라펠).TM 같은 선택된 상호접속 시뮬레이터에 의해 요구된 포맷으로 변환된다. 변환된 디바이스 시뮬레이터 입력을 사용하여, 디바이스 시뮬레이터는 디바이스 특성을 생성하는 것을 호출한다(350). 상호접속 시뮬레이터를 계속 예를들어, 상호접속 시뮬레이터는 상호접속 시뮬레이터 입력으로서 변환된 프로파일 데이터를 사용하고, 저항, 캐패시턴스, 인덕턴스, 전위, 온도 및 전류 밀도 분배 같은 전기적 및 열적 프로파일을 포함하는 디바이스 특성을 생성한다. 시뮬레이션 데이터 스토어는 회절된 신호, 프로파일 데이터, 시뮬레이션 형태, 및 디바이스 특성을 포함한다(360). 다시 상호접속 실시예와 광학 계측 디바이스를 예를들면, 생성된 시뮬레이션 데이터 스토어는 엘립소미터에 대한 파장 범위에 대한 탄젠트(Ψ) 및 코사인(Δ) 데이터 같은 신호 또는 반사미터에 대한 파장 범위에 대한 반사된 광 밀도를 포함하고, 상기 파장 범위 및 측정 포인트는 광학 계측 디바이스의 제조기에 따른다. 게다가, 생성된 시뮬레이션 데이터 스토어는 프로파일 형태 CD, 상호접속 장치 시뮬레이션인 시뮬레이션 형태, 상부 CD, 하부 CD, 격자 두께, 굴곡 포인트에서 높이 및 폭을 포함하는 프로파일 데이터, 및 하부 두께; 및 저항, 캐패시턴스, 인덕턴스, 전위, 온도 및 전류 밀도 분배 같은 디바이스 특성 같은 디바이스 특성을 포함하는 관련된 프로파일 데이터를 포함한다. 시뮬레이션 데이터 스토어 생성 프로세스는 시뮬레이션이 완료될때까지 반복된다(370).
도 8B는 본 발명의 일실시예에서 테스트 격자를 사용하여 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성을 위한 동작 단계의 흐름도이다. 요구된 시뮬레이션 형태에 대한 프로세스 제어 파라미터 세트는 선택된다(400). 프로세스 제어 파라미터의 선택된 세트를 사용하여, 제조 프로세스 시뮬레이터는 호출되고(410), 제조 특성을 생성한다. 제조 특성은 프로파일 형태 및 임계 치수를 포함하는 프로파일 데이터로 변환된다(420). 계측 시뮬레이터는 회절된 신호를 계산하기 위하여 프로파일 형태 및 임계 치수를 사용한다(420). 프로세스 제어 파라미터, 프로파일 데이터, 계산된 신호를 포함하는 데이터는 시뮬레이션 데이터 스토어를 생성하기 위하여 사용된다(435). 예를들어, 만약 제조 프로세스 시뮬레이션 형태가 리소그래피이면, 프로세스 제어 파라미터의 세트는 베이크 시간, 베이크 온도, 포커스, PEB 시간, 및/또는 세척 온도를 포함할수있다. 제조 프로세스 시뮬레이션에 의해 생성된 제조 특성은 프로파일 형태 및 구조물의 기하학적 구조를 포함하는 프로파일 데이터를 포함한다. 프로파일 형태 및 기하학적 구조는 반사된 신호를 계산하기 위하여 계측 시뮬레이터에 의해 요구된 CD로 변환된다. 만약 프로파일 형태가 상부가 라운딩되고 하부가 푸팅인 사다리꼴 프로파일이면, CD는 피처 푸팅 하부 폭, 사다리꼴 하부 폭, 총 높이, 사다리꼴 폭, 및 라운딩 상부 폭을 포함한다.
제조 프로세스 시뮬레이터에 의해 생성된 제조 특성은 디바이스 시뮬레이터 요구에 적합한 포맷으로 변환된다. 디바이스 시뮬레이터는 변환된 제조 특성을 사용하고, 디바이스 특성을 생성한다(445). 일실시예에서, 제조 프로세스 시뮬레이터 및 디바이스 시뮬레이터는 단일 패킷지로 결합되고, 적합한 포맷으로 입력 파라미터를 변환하라는 요구를 제거한다. 회로 또는 회로의 일부를 형성하는 몇몇 디바이스는 회로 시뮬레이션을 위해 함께 결합될수있다. 예를들어, 게이트, 접촉홀, 바이어스 및 회로 또는 회로의 일부를 형성하는 패드 같은 몇몇 IC 구성요소는 회로 시뮬레이션을 위하여 함께 그룹화된다. 각각의 이들 그룹화된 디바이스에 대한 디바이스 특성은 회로 시뮬레이터 요구와 적합한 포맷으로 변환된다(460). 회로 시뮬레이터는 호출되고, 변환된 디바이스 특성을 사용하여, 회로 특성을 생성한다(465). 회로 특성의 예는 시간 함수로서의 전압 및 전류, 왜곡 분석, 및 감지도 분석이다. 적당한 시뮬레이션 데이터 스토어는 대응 회로 특성으로 업데이트된다(470).
도 9A는 본 발명의 일실시예에서 프로파일에 기초한 시뮬레이션 데이터 스토어의 인-시튜 사용을 위한 동작 단계의 흐름도이다. 웨이퍼 테스트 격자에서 벗어난 신호는 계측 디바이스로 측정된다(600). 프로파일에 기초한 시뮬레이션 데이터 스토어에서 최적 매칭 신호는 선택된다(610). 시뮬레이션 형태는 결정되어(620) 최적 매칭 시뮬레이션 데이터 스토어와 관련된 시뮬레이션 데이터를 액세스한다(640). 프로파일에 기초한 시뮬레이션 데이터 스토어로부터의 요구된 정보는 디스플레이된다(640). 프로세스 제어 파라미터, 신호, 프로파일 데이터, 제조 특성, 디바이스 특성, 및/또는 회로 특성은 디스플레이된다.
예를들어, 리소그래피 및 처리후 테스트 격자는 광학 계측 디바이스로 측정되어, 측정된 회절 스펙트라를 생성한다. 테스트 격자의 회절된 스펙트라와 비교하여 시뮬레이션 데이터 스토어의 최적 매칭은 선택되고 테스트 격자의 프로파일 데이터는 추출된다. 요구된 정보는 상호접속 장치 시뮬레이션과 연관된 전기 디바이스 특성을 포함한다. 테스트 격자의 프로파일 데이터에 대응하는 시뮬레이션 데이터 스토어로부터의 캐패시턴스, 저항, 및 인덕턴스 정보는 디스플레이된다.
도 9B는 본 발명의 일실시예에서 프로파일에 기초한 시뮬레이션 데이터 스토어의 온라인 사용 문의(inquiry)에 대한 동작 단계의 흐름도이다.
문의 형태와 소정의 데이터 질문은 프로파일에 기초한 시뮬레이션 데이터 스토어(700)에 대해 유효화된다. 문의 형태와 소정의 데이터 질문을 만족시키는 프로파일에 기초한 시뮬레이션 데이터 스토어 인스턴스가 선택된다.(720) 프로파일에 기초한 시뮬레이션 데이터 스토어의 선택된 인스턴스로부터 요청된 정보가 디스플레이된다.(730) 예컨대, 만약 문의 형태가 리소그래피 시뮬레이션의 프로세스 제어 파라미터에 관한 것이고 소정의 데이터 질문이 전기 도전성이라면, 디스플레이된 정보는 프로파일 CD를 포함하고 리소그래피 프로세스 동안 포커스, 노광, PEB 온도, 레지스트 두께, 및 안티-반사 코팅 두께에 대한 데이터를 포함할 수 있다. 반대로, 만약 문의 형태가 디바이스 특성에 관한 것이고 소정의 데이터 질문이 회절된 신호라면, 디스플레이된 정보는 캐패시턴스와 다른 디바이스 특성을 포함할 수 있다. 선택적으로, 만약 문의 형태가 비아(via)의 프로파일 데이터에 관한 것이고 소정의 데이터 질문이 회로에 대한 시간 함수로서 전압 및 전류로 구성되었다면, 디스플레이된 데이터는 프로파일 형태와 프로파일의 CD 를 포함할 수 있다. 당업자는 프로파일에 기초한 시뮬레이션 데이터 스토어로부터 디스플레이된 올바른 정보를 얻기 위해 다수의 여러 문의 형태과 소정의 데이터 질문의 여러 조합을 공식화할 수 있다.
도 10은 본 발명의 일 실시예로서 프로파일에 기초한 시뮬레이션 데이터 스토어의 시뮬레이션 데이터 스토어 포맷을 도시한다. 데이터 스토어 포맷(800)은 신호(801), 프로파일 데이터(803), 시뮬레이션 형태(805)을 포함하는 시뮬레이션 데이터 세그먼트(804), 프로세스 제어 파라미터 또는 입력 파라미터(807) 및 제조, 디바이스, 및/또는 회로 특성(809)을 포함한다. 소정의 신호(801)와 해당 프로파일 데이터(803)에 대하여, 시뮬레이션 형태(805)의 여러 시뮬레이션 데이터 세그먼트(804), 프로세스 제어 파라미터 또는 입력 파라미터(807), 및 제조, 디바이스, 및/또는 회로 특성(809)이 존재한다. 시뮬레이션 형태(805)는 제조 프로세스 시뮬레이션, 디바이스 시뮬레이션, 회로 시뮬레이션, 조합된 제조 및 디바이스 시뮬레이션, 조합된 디바이스 및 회로 시뮬레이션, 또는 조합된 제조, 디바이스, 및 회로 시뮬레이션을 포함한다. 제조 프로세스 시뮬레이션의 예는 리소그래피, 에칭, 주입, 산화, CMP, 확산, 증착과 에칭, 증착과 리플로우, 2차원 프로세스, 3차원 프로세스 시뮬레이션과 상기 프로세스의 여러 조합을 포함한다. 디바이스 시뮬레이션의 예는 상호접속, 정전기 방전, 광학 디바이스, 전력 디바이스, 컴파운드 디바이스, 및 다른 디바이스 시뮬레이션을 포함한다. 회로 시뮬레이션의 예는 과도 신호(transient signal), 신호 보전(integrity), 노이즈 및 다른 회로 시뮬레이션을 포함한다.
도 10은 상호접속 디바이스 시뮬레이션 및 조합된 제조 프로세스와 디바이스 시뮬레이션에 대한 시뮬레이션 데이터 스토어 포맷의 예를 도시한다. 상호접속 디바이스 시뮬레이션의 예 1에서, 신호는 엘립소미터를 사용하여 광학 계측 측정 데이터를 나타내는 값으로 표현된다. 상기 예는 키(key) 입력 파라미터가 프로파일데이터이고 디바이스 특성이 캐패시턴스, 인덕턴스 및 저항인 하나의 시뮬레이션 데이터 세그먼트를 가진다. 예 2는 두 개의 링크된 시뮬레이션, 즉 상호접속 디바이스 시뮬레이션에 링크된 리소그래피 및 에칭 제조 프로세스 시뮬레이션으로부터 데이터를 저장하는 시뮬레이션 데이터 스토어 인스턴스를 나타낸다. 에칭 시뮬레이션은 해당 시뮬레이션 데이터 세그먼트를 가진다. 제조 프로세스 시뮬레이션은 디바이스 시뮬레이션의 입력으로서 사용된 제조 특성을 생성한다. 당업자는 제조 프로세스, 디바이스 및 회로 시뮬레이션의 여러 조합으로부터 시뮬레이션 데이터 세그먼트의 해당 조합이 상기 예에서 도시된 동일한 개념과 원리에 따라 얻어진다는 것을 알 것이다.
도 11A는 마스크 CD로부터 광학 계측 CD와 전자 CD의 차 △W의 상호 관계를 도시하는 그래프이다. CDOPTICAL METROLOGY은 엘립소미터 또는 반사미터와 같은 광학 계측 디바이스에 의해 결정된 것으로서 구조물의 임계 치수이다. CDMASK는 구조물의 상부 CD와 같은 마스크 내에 설계된 임계 치수이다. CDELECTRIC은 전기적 특성에 기초한 구조물의 임계 치수이고 기본 공식:V/I=R 을 이용하여 얻어진다. 여기서 V는 전압이고, I는 전류이며, R은 저항이다. 저항(R)은 저항률(ρ)을 면적(A)로 나눈 것과 같다:
R = ρ/A = ρ/H*CDELECTRIC
여기서 H는 구조물의 높이이고 CDELECTRIC는 유효폭이다. 구조물의 저항률(ρ)과 H가 일반적으로 일정한 것으로 주어진다면, CDELECTRIC는 구조물의 전기 저항을 제어하는 변수이다. 도 11A의 그래프(811)는 마스크 CD로부터 전자 CD 사이의 차인, △W에 대한 광학 계측 CD의 밀접한 상호 관계를 도시하며, 가중 평균 그래프는 직선이다. 실험 데이터는 여러 실시예에서 개시된 것처럼 프로파일에 기초한 시뮬레이션 데이터의 사용을 도시한다.
도 11B는 두 개의 그래프를 도시하는데, CD-온리 모니터링 또는 프로파일을 모니터링하지 않은 제조 프로세스와 비교하여 전체-프로파일 모니터링 제조 프로세스에 대한 하부 CD와 피처 측벽 각의 작은 변화를 도시한다. 지수적으로 가중된 이동 평균 제어기와 1차 적분 이동 평균 교란 발생기를 사용하여 얻어진 실험 데이터는 상부 그래프에 도시된 CD-온리 제어(825) 또는 비제어(no control)(823)와 비교하여 하부 CD의 가장 작은 변화가 제공된 리소그래픽 시뮬레이션에서 하부 CD의 전체-프로파일 제어(821)를 나타낸다. 유사하게, 실험적 데이터에 기초한 하부 그래프는 CD-온리 제어(833) 또는 비제어(no control)(831)와 비교하여 측벽 각의 가장 작은 변화가 제공된 리소그래픽 시뮬레이션에서 측벽 각의 전체-프로파일 제어(835)를 나타낸다. 도 11A와 유사하게, 실험 데이터에 기초한 상기 그래프는 여러 실시예에서 개시된 것처럼 프로파일에 기초한 시뮬레이션 데이터 스토어의 사용을 도시한다.
IC 제작시에 프로파일에 기초한 시뮬레이션 데이터 스토어가 많이 사용된다. 본 발명의 개념과 원리는 IC 제조 프로세스 단계, 디바이스, 또는 회로의 시뮬레이션에 적용될 수 있다. 프로파일에 기초한 시뮬레이션 데이터 스토어의 생성 및 사용에 대한 개념과 원리가 제조 프로세스와 디바이스 시뮬레이션의 조합, 디바이스와 회로 시뮬레이션, 또는 제조 프로세스, 디바이스 및 회로 시뮬레이션에 적용될 수 있다는 것은 당업자에게 자명하다.
상기 설명한 본 발명의 실시예가 설명되었다. 이들은 본 발명을 제한하지 않는다. 특히, 여기서 개시된 발명의 기능적 실시는 하드웨어, 소프트웨어, 펌웨어, 및/또는 다른 이용가능한 기능적 부품 또는 빌딩 블록에서 동일하게 구현될 수 있다.
상기 설명한 관점에서, 본 발명의 여러 변화와 다른 실시예가 이루어질 수 있으며, 본 발명의 사상은 상세한 설명에 의해 제한되는 것이 아니라, 하기의 청구항에 의해 제한된다.
본 발명에 따른 일 실시예에서, 계측 디바이스, 구조물 프로파일 데이터, 프로세스 제어 파라미터 및 제조 특성에 의해 측정된 신호를 포함하는 프로파일에 기초한 정보의 데이터 스토어를 생성하고 이용하여, 데이터 스토어로부터의 정보는 제조 프로세스 설비를 가진 설계 또는 제조 프로세스 및/또는 인-시튜 동안 인-라인에 이용될 수 있다.
또한 본 발명에 따른 다른 실시예에서, IC에 대한 상호접속 기하학적 구조를 모델링하는 테스트 격자를 이용하여 상호접속 시뮬레이션 데이터 스토어를 생성하는 방법으로서, 상호접속 시뮬레이션 데이터 스토어는 제조동안 IC의 전기적 및열적 특성을 모니터링하기 위해 인-라인에 이용될 수 있다. 선택적으로, 시뮬레이션 데이터 스토어 서버는 소정의 제조 프로세스를 위한 IC 설계에서 필요한 상호접속의 전기적 특성을 만족시키는 프로세스 제어 파라미터에 관한 정보를 제공할 수 있다.
본 발명에 따른 또다른 실시예에서, 계측 시뮬레이터 및 제조 프로세스 시뮬레이터를 이용하여 시뮬레이션 데이터 스토어를 생성하기 위한 방법 및 시스템을 포함한다. 제조 프로세스 시뮬레이터는 리소그래피, 주입, 확산, 산화, 증착 및 에칭, CMP, 증착 및 리플로우, 2차원 프로세스, 3차원 프로세스 시뮬레이터 또는 이들 프로세스의 조합을 시뮬레이팅할 수 있다. 프로세스 제어 파라미터의 범위 및 이들 프로세스 제어 파라미터 편차를 근거로, 구조물 프로파일 데이터는 제조 프로세스 시뮬레이터를 이용하여 생성된다. 시뮬레이팅된 구조물 프로파일 데이터는 계측 시뮬레이터를 이용하여 신호로 변환된다. 시뮬레이션 데이터 스토어 발생기는 프로세스 제어 파라미터의 편차 및 관련 신호, 프로파일 데이터 및 제조 특성을 저장하는 데이터 스토어 인스턴스들을 생성한다. 다른 실시예는 계측 시뮬레이터 및 결합된 프로세스 및 디바이스 시뮬레이터 또는 결합된 프로세스, 디바이스 및 회로 시뮬레이터를 이용하여 시뮬레이션 데이터 스토어를 생성하기 위한 방법 및 시스템을 포함한다. 시뮬레이션 데이터 스토어로부터의 정보는 각각의 제조 프로세스 단계에 있어서 인-시튜 인라인에 이용될 수 있고, 설계, 제조단계, 수율 또는 프로세스 드리프트를 수정하기 위한 정보를 개선하도록 최첨단의 적절한 정보를 제공할 수 있다.

Claims (52)

  1. 하나 이상의 시뮬레이션을 이용하여 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키는, 프로세스 제어 파라미터의 선택된 세트를 사용하여 상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 제조 특성으로부터 상기 프로파일 데이터를 이용하거, 상기 프로파일 데이터는 하나 이상의 상기 제조 프로세스 시뮬레이션에 의한 구조물의 프로파일 형태 및 임계 치수를 가지는, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터 및 대응하는 계산된 신호, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터와 제조 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 하나 이상의 상기 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  2. 제 1 항에 있어서,
    상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계는,
    프로세스 제어 파라미터의 선택된 제 1 세트를 사용하여 박막, 증착 또는 화학 기계적 연마 프로세스를 시뮬레이팅하는 단계; 및
    프로세스 제어 파라미터의 선택된 제 2 세트를 사용하여 리소그래피 프로세스를 시뮬레이팅하는 단계를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  3. 제 1 항에 있어서,
    상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계는,
    프로세스 제어 파라미터의 선택된 제 1 세트를 사용하여 리소그래피 프로세스를 시뮬레이팅하는 단계; 및
    프로세스 제어 파라미터의 선택된 제 2 세트를 사용하여 에칭 프로세스를 시뮬레이팅하는 단계를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  4. 제 1 항에 있어서,
    상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계는,
    프로세스 제어 파라미터의 선택된 제 1 세트를 사용하여 리소그래피 프로세스를 시뮬레이팅하는 단계; 및
    프로세스 제어 파라미터의 선택된 제 2 세트를 사용하여 주입 프로세스를 시뮬레이팅하는 단계를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  5. 제 1 항에 있어서,
    상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계는,
    프로세스 제어 파라미터의 선택된 제 1 세트를 사용하여 에칭 프로세스를 시뮬레이팅하는 단계; 및
    프로세스 제어 파라미터의 선택된 제 2 세트를 사용하여 포토레지스트 스트립핑 프로세스를 시뮬레이팅 하는 단계를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  6. 제 1 항에 있어서,
    상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계는,
    프로세스 제어 파라미터의 선택된 제 1 세트를 사용하여 주입 프로세스를 시뮬레이팅하는 단계; 및
    프로세스 제어 파라미터의 선택된 제 2 세트를 사용하여 포토레지스트 스트립핑 프로세스를 시뮬레이팅하는 단계를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  7. 하나 이상의 시뮬레이션을 이용하여 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 상기 입력 파라미터의세트는 상기 하나 이상 시뮬레이트된 디바이스에 대응하는 프로파일 데이터를 포함하는, 상기 입력 파라미터의 선택된 세트를 사용하여 하나 이상의 디바이스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 하나 이상의 시뮬레이트된 디바이스에 대응하는 프로파일 데이터를 사용하고, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터 및 대응하는 계산된 신호, 시뮬레이션 형태, 프로세스 제어 파라미터, 및 제조 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  8. 제 7 항에 있어서,
    상기 입력 파라미터의 선택된 세트는 프로파일 데이터를 가지는 프로파일 라이브러리를 포함하고, 상기 프로파일 데이터는 상기 하나 이상의 디바이스가 시뮬레이트된 프로파일을 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  9. 하나 이상의 시뮬레이션을 이용하여 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    회로는 하나 이상의 디바이스를 가지고, 회로 시뮬레이션은 회로 특성을 발생시키며, 입력 파라미터의 세트는 시뮬레이트된 하나 이상의 회로의 하나 이상의 디바이스에 대응하는 프로파일 데이터를 포함하는, 상기 입력 파라미터의 선택된 세트를 사용하여 하나 이상의 회로를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 시뮬레이트된 하나 이상의 회로의 하나 이상의 디바이스에 대응하는 프로파일 데이터를 사용하는, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 계산된 신호, 프로파일 데이터, 프로세스 제어 파라미터, 및 회로 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  10. 제 9 항에 있어서,
    상기 시뮬레이트된 하나 이상의 회로는 전송 라인, 저항기, 캐패시터, 인덕터, 증폭기, 스위치, 다이오드, 또는 트랜지스터를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  11. 하나 이상의 시뮬레이션을 이용하여 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키고, 프로세스 제어 파라미터의 선택된 세트를 사용하여 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 발생된 제조 특성에 의한 프로파일 데이터를 사용하고, 상기 프로파일 데이터는 상기 하나 이상의 제조 프로세스 시뮬레이션에 의한 구조물의 프로파일 형태 및 임계 치수를 포함하는, 상기 계측된 시뮬레이터로 계산된 신호를 발생시키는 단계;
    상기 하나 이상의 시뮬레이트된 제조 프로세스에 의해 발생된 프로파일 데이터를 사용하는 하나 이상의 디바이스를 시뮬레이팅하는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 발생된 제조 특성에 의한 프로파일 데이터, 대응하는 계산된 신호, 시뮬레이션 형태 및 관련된 프로세스 제어 파라미터와 디바이스 특성을 포함하는 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  12. 제 11 항에 있어서,
    상기 시뮬레이트된 하나 이상의 제조 프로세스는 리소그래피 시뮬레이션과 에칭 시뮬레이션과 상호접속 시뮬레이션을 가지는 하나 이상의 디바이스 시뮬레이션을 가지는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  13. 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 입력 파라미터의 세트는 하나 이상의 시뮬레이트된 디바이스의 프로파일 데이터를 포함하는, 상기 입력 파라미터의 선택된 세트를 사용하여 하나 이상의 디바이스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 하나 이상의 시뮬레이트된 디바이스의 프로파일 데이터를 사용하고, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계;
    회로 시뮬레이션은 회로 특성을 발생시키고, 입력 파라미터로서 상기 하나 이상의 디바이스 시뮬레이션으로부터 발생된 디바이스 특성을 사용하여 하나 이상의 회로를 시뮬레이팅하는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터 및 대응하는 계산된 신호, 시뮬레이션 형태 및 관련된 입력 파라미터, 디바이스 특성, 및 회로 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  14. 제 13 항에 있어서,
    상기 하나 이상의 디바이스 시뮬레이션은 전원 디바이스 시뮬레이션과 상호접속 시뮬레이션을 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  15. 제 13 항에 있어서,
    상기 하나 이상의 디바이스 시뮬레이션은 전송 라인 시뮬레이션 및 증폭기 시뮬레이션을 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  16. 하나 이상의 시뮬레이션을 이용하여 집적 회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키고, 프로세스 제어 파라미터의 선택된 세트를 사용하여 상기 하나 이상의 제조 프로세스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 발생된 제조 특성에 의한 프로파일 데이터를 사용하고, 상기 프로파일 데이터는 상기 하나 이상의 제조 프로세스 시뮬레이션에 의한 구조물의 프로파일 형태 및 임계 치수를 포함하는 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계;
    상기 하나 이상의 시뮬레이트된 제조 프로세스에 의해 발생된 프로파일 데이터를 사용하여 하나 이상의 디바이스를 시뮬레이팅하는 단계;
    회로 시뮬레이션은 회로 특성을 발생시키고, 입력 파라미터로서 상기 하나 이상의 디바이스 시뮬레이션으로부터 발생된 디바이스 특성을 사용하여 하나 이상의 회로를 시뮬레이팅하는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터, 대응하는 계산된 신호, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터, 제조 특성, 디바이스 특성, 및 회로 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  17. 제 16 항에 있어서,
    상기 하나 이상의 제조 프로세스 시뮬레이션은 리소그래피 시뮬레이션을 포함하고, 상기 하나 이상의 디바이스 시뮬레이션은 상호접속 시뮬레이션을 포함하며, 상기 하나 이상의 회로 시뮬레이션은 전송 라인 시뮬레이션을 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  18. 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    계측 디바이스로 집적회로 설계 및/또는 제조 프로세스의 영향을 모델링하는 하나 이상의 테스트 격자를 측정하는 단계;
    상기 계측 디바이스로 측정된 신호를 발생시키는 단계;
    상기 측정된 테스트 격자에 대응하는 프로파일 데이터로 측정된 신호를 변환하는 단계;
    디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 입력 파라미터의 세트로서 상기 변환된 프로파일 데이터를 사용하여 하나 이상의 디바이스를 시뮬레이팅하는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터, 대응하는 측정된 신호, 시뮬레이션 형태, 및 관련된 디바이스 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  19. 제 18 항에 있어서,
    상기 측정된 신호를 프로세스 제어 파라미터로 변환시키는 단계는,
    계산된 신호의 라이브러리의 인스턴스는 계산된 신호와 프로파일 데이터를 포함하는 데이터 구성요소를 가지고, 상기 테스트 격자에서 벗어난 측정된 신호를 상기 계산된 신호의 라이브러리의 인스턴스와 비교하는 단계;
    상기 계산된 신호의 라이브러리에서 대응하는 최적의 매칭 인스턴스를 선택하는 단계; 및
    상기 계산된 신호의 라이브러리의 선택된 최적 매칭 인스턴스로부터 프로파일 데이터에 액세싱하는 단계를 더 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  20. 제 18 항에 있어서,
    상기 하나 이상의 디바이스 시뮬레이션은 상호접속 시뮬레이션인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  21. 제 18 항에 있어서,
    상기 테스트 격자를 측정하는 단계는,
    상기 집적회로의 상호접속 기하학적 형태를 포착하기 위한 상기 테스트 격자를 설계하는 단계;
    상기 테스트 격자를 제조하는 단계; 및
    상기 계측 디바이스를 가지는 제조된 테스트 격자를 측정하는 단계를 더 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  22. 제 18 항에 있어서,
    상기 디바이스 특성은 저항, 캐패시턴스, 전위, 온도, 및 상호접속의 전류밀도 분배를 포함하는 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  23. 시뮬레이션 데이터 스토어의 실시간 사용 방법으로서,
    격자는 집적회로의 상호접속 기하학적 구조를 모델링하고, 측정은 측정된 신호를 발생시키는, 계측 디바이스로 격자를 측정하는 단계; 및
    상기 격자에서 벗어난 측정된 신호에 대응하는 상호접속 전기적 특성 및/또는 열적 특성을 획득하는 단계를 포함하는 시뮬레이션 데이터 스토어의 실시간 사용 방법.
  24. 제 23 항에 있어서,
    상기 격자에서 벗어난 측정된 신호에 대응하는 상호접속 전기적 특성 및/또는 열적 특성을 획득하는 단계는,
    시뮬레이트된 데이터 스토어 인스턴스는 신호와 디바이스 특성을 포함하는 데이터 구성요소를 가지고, 상기 디바이스 특성은 상호접속 전기적 특성 및/또는 열적 특성을 포함하는, 시뮬레이션 데이터 스토어를 액세싱하는 단계;
    상기 시뮬레이션 데이터 스토어의 인스턴스에서 상기 측정된 신호를 상기 신호와 비교하는 단계;
    상기 시뮬레이션 데이터 스토어의 최적 매칭 인스턴스를 선택하는 단계; 및
    상기 시뮬레이트된 데이터 스토어의 최적 매칭 인스턴스와 관련한 상호접속 전기적 특성 및/또는 열적 특성을 액세싱하는 단계를 더 포함하는 시뮬레이션 데이터 스토어의 실시간 사용 방법.
  25. 제 23 항에 있어서,
    상기 상호접속 전기적 특성은 캐패시턴스, 인덕턴스, 및 저항을 포함하는 시뮬레이션 데이터 스토어의 실시간 사용 방법.
  26. 계측 시뮬레이터를 이용하여 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법으로서,
    제조 프로세스 시뮬레이션은 제조 특성의 세트와 구조물 프로파일 데이터의 세트를 발생시키는, 프로세스 제어 파라미터의 세트를 사용하여 상기 제조 프로세스 시뮬레이션을 수행하는 단계;
    계측 시뮬레이터를 사용하여 구조물 프로파일 데이터의 세트에 대응하는 시뮬레이트된 신호의 세트를 계산하는 단계; 및
    상기 시뮬레이션 데이터 스토어의 각 인스턴스는 프로파일 데이터 및 대응하는 계산된 신호를 포함하는 데이터 구성요소, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터와 제조 특성을 포함하는, 상기 시뮬레이션 데이터 스토어의 인스턴스를 생성하는 단계를 포함하고,
    상기 시뮬레이션 형태는 상기 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  27. 제 26 항에 있어서,
    상기 제조 프로세스 시뮬레이션은 리소그래피 시뮬레이션인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  28. 제 26 항에 있어서,
    상기 제조 프로세스 시뮬레이션은 리소그래피 및 에칭 시뮬레이션의 조합인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  29. 제 26 항에 있어서,
    상기 제조 프로세스 시뮬레이션은 주입 시뮬레이션, 확산 시뮬레이션, 산화 시뮬레이션, 증착 및 에칭 시뮬레이션, 화학 기계적 연마 시뮬레이션, 증착 및 리플로우 시뮬레이션, 2차원 프로세스 시뮬레이션, 또는 3 차원 제조 프로세스 시뮬레이션인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  30. 제 26 항에 있어서,
    상기 계측 시뮬레이터는 광학 계측 시뮬레이터인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 방법.
  31. 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템으로서,
    라이브러리 인스턴스가 계산된 신호와 프로파일 데이터를 포함하는 데이터 구성요소를 저장하고, 웨이퍼 내의 테스트 격자에서 벗어난 측정된 신호를 계산된 신호 라이브러리의 인스턴스 내의 계산된 신호와 비교하며, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스를 선택하는 프로파일러 애플리케이션 서버;
    하나 이상의 제조 프로세스를 시뮬레이트하고, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스와 관련된 프로파일 데이터를 이용하여 제조 특성을 발생시키는 제조 프로세스 시뮬레이터;
    시뮬레이션 데이터 스토어 인스턴스는 상기 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 제조 특성을 포함하는 데이터 구성요소를 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 제조 프로세스 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  32. 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템으로서,
    라이브러리 인스턴스는 프로파일 데이터와 관련된 계산된 신호를 포함하는 데이터 구성요소를 저장하고, 웨이퍼 내의 테스트 격자에서 벗어난 측정된 신호를 계산된 신호 라이브러리의 인스턴스 내의 계산된 신호롸 비교하며, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스를 선택하는 프로파일러 애플리케이션 서버;
    하나 이상의 디바이스를 시뮬레이트하며, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스와 관련된 프로파일 데이터를 이용하여 디바이스 특성을 발생시키는 디바이스 시뮬레이터;
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 디바이스 특징을 포함하는 데이터 구성요소를 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 디바이스 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  33. 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템으로서,
    라이브러리 인스턴스는 프로파일 데이터와 관련된 계산된 신호를 포함하는 데이터 구성요소를 저장하고, 웨이퍼 내의 테스트 격자에서 벗어난 측정된 신호를 계산된 신호 라이브러리의 인스턴스 내의 계산된 신호롸 비교하며, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스를 선택하는 프로파일러 애플리케이션 서버;
    하나 이상의 회로를 시뮬레이트하며, 상기 계산된 신호의 라이브러리의 최적 매칭 인스턴스와 관련된 프로파일 데이터를 이용하여 회로 특성을 발생시키는 디바이스 시뮬레이터;
    시뮬레이션 데이터 스토어 인스턴스는 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 회로 특징을 포함하는 데이터 구성요소를 저장하고, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 회로 시뮬레이션이 수행되는 특성표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  34. 집적회로에 대하여 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키고, 상기 제조 특성은 구조물 프로파일 데이터를 포함하는, 프로세스 제어 파라미터의 선택된 세트를 사용하여 하나 이상의 제조 프로세스를 시뮬레이트하는 제조 프로세스 시뮬레이터;
    상기 제조 프로세스 시뮬레이터로부터 상기 구조물 프로파일 데이터를 수신하며, 시뮬레이트된 격자는 상기 수신된 구조물 프로파일 데이터와 동일한 프로파일로 반복되는 구조물을 가지고, 상기 시뮬레이트된 격자를 사용하여 계산된 계측 신호를 발생시키는 계측 시뮬레이터;
    각 시뮬레이션 데이터 스토어 인스턴스는 상기 프로파일 데이터, 관련된 계산된 신호, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터와 제조 특성을 포함하는 데이터 구성요소를 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 제조 프로세스 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  35. 집적회로에 대하여 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는시스템으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키고, 상기 발생된 제조 특성은 구조물 프로파일 데이터를 포함하고, 프로세스 제어 파라미터의 선택된 세트를 사용하여 하나 이상의 제조 프로세스를 시뮬레이트하는 제조 프로세스 시뮬레이터;
    상기 제조 프로세스 시뮬레이터로부터 상기 구조물 프로파일 데이터를 수신하며, 시뮬레이트된 격자는 상기 수신된 구조물 프로파일 데이터로서 동일한 프로파일로 반복되는 구조물을 가지고, 상기 시뮬레이트된 격자를 사용하여 계산된 계측 신호를 발생시키는 계측 시뮬레이터;
    상기 발생된 제조 특성에 의한 상기 프로파일 데이터를 사용하여 하나 이상의 디바이스를 시뮬레이트하는 디바이스 시뮬레이터;
    각 시뮬레이션 데이터 스토어 인스턴스는 상기 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터를 포함하는 데이터 구성요소, 제조 특성, 및 디바이스 특성을 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 제조 또는 디바이스 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  36. 집적회로에 대하여 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는시스템으로서,
    제조 프로세스 시뮬레이션은 제조 특성을 발생시키고, 상기 발생된 제조 특성은 구조물 프로파일 데이터를 포함하는, 프로세스 제어 파라미터의 선택된 세트를 사용하여 하나 이상의 제조 프로세스를 시뮬레이트하는 제조 프로세스 시뮬레이터;
    상기 제조 프로세스 시뮬레이터로부터 상기 구조물 프로파일 데이터를 수신하며, 시뮬레이트된 격자는 대응하는 수신된 구조물 프로파일 데이터와 동일한 프로파일 데이터로 반복되는 구조물을 가지고, 상기 시뮬레이트된 격자에서 벗어난 계산된 계측 신호를 발생시키는 계측 시뮬레이터;
    하나 이상의 디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 상기 발생된 제조 특성에 의한 상기 프로파일 데이터를 사용하여 하나 이상의 디바이스를 시뮬레이트하는 디바이스 시뮬레이터;
    하나 이상의 회로 시뮬레이션은 회로 특성을 발생시키고, 입력 파라미터로서 상기 하나 이상의 디바이스 시뮬레이션으로 발생된 디바이스 특성을 사용하여 하나 이상의 회로를 시뮬레이트하는 회로 시뮬레이터;
    각 시뮬레이션 데이터 스토어 인스턴스는 상기 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 프로세스 제어 파라미터를 포함하는 데이터 구성요소, 제조 특성, 디바이스 특성 및 회로 특성을 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 제조 프로세스, 디바이스 또는 회로 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  37. 집적회로에 대하여 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템으로서,
    입력 프로파일 데이터를 사용하여 계산된 계측 신호를 발생시키는 계측 시뮬레이터;
    하나 이상의 디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 상기 입력 프로파일 데이터를 사용하여 하나 이상의 디바이스를 시뮬레이트하는 디바이스 시뮬레이터;
    하나 이상의 회로 시뮬레이션은 회로 특성을 발생시키고, 입력 파라미터로서 상기 하나 이상의 디바이스 시뮬레이션에 의한 상기 발생된 디바이스 특성을 사용하여 하나 이상의 회로를 시뮬레이트하는 회로 시뮬레이터;
    각 시뮬레이션 데이터 스토어 인스턴스는 상기 프로파일 데이터, 관련된 측정된 신호, 시뮬레이션 형태, 및 관련된 디바이스 특성과 회로 특성을 포함하는 데이터 구성요소를 저장하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 시뮬레이션 데이터 스토어 발생기를 포함하고,
    상기 시뮬레이션 형태가 상기 하나 이상의 디바이스 또는 회로 시뮬레이션이 수행되는 특성 표시인 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 시스템.
  38. 집적회로에 대한 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템으로서,
    프로파일에 기초한 시뮬레이션 데이터와 소정의 데이터 질문에 대한 문의 형태를 포함하는 질문을 전송하며, 질문에 대한 응답을 수신하는 질문 디바이스;
    상기 질문을 처리하고, 상기 질문에 대한 응답을 공식화하는 시뮬레이션 데이터 스토어 서버;
    스토어 인스턴스가 프로파일 데이터, 신호, 및 프로세스 제어 파라미터를 포함하는 데이터 구성요소와 제조 특성을 가지는 시뮬레이션 데이터 스토어;
    상기 시뮬레이션 데이터 스토어 서버는, 상기 질문 디바이스로부터 질문을 수신하고, 상기 시뮬레이션 데이터 스토어의 선택된 인스턴스를 액세스하고, 상기 문의 형태 및 소정의 데이터 질문에 의해 시뮬레이션 데이터 스토어의 선택이 결정되고, 상기 질문에 대한 응답이 공식화되고, 상기 질문 디바이스로 응답을 전송하는 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  39. 제 38 항에 있어서,
    상기 질문 디바이스는 계측 시스템이고 상기 소정의 데이터 질문은 상기 계측 시스템에 의해 발생된 측정된 회절 신호인 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  40. 제 39 항에 있어서,
    상기 소정의 데이터 질문은 측정된 회절 신호이며 상기 시뮬레이션 데이터 스토어의 선택된 인스턴스에 의한 상호접속 전기적 디바이스 특성을 포함하는 질문에 대한 응답인 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  41. 제 38 항에 있어서,
    상기 소정의 데이터 질문은 포커스를 포함하는 프로세스 제어 파라미터이고 개구 수 이며 측벽 각을 포함하는 제조 특성인 질문에 대한 응답이고 상기 시뮬레이션 데이터 스토어의 선택된 인스턴스에 의한 상부 임계 치수인 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  42. 제 38 항에 있어서,
    상기 질문 디바이스, 상기 시뮬레이션 데이터 스토어, 및 상기 시뮬레이션 데이터 스토어 서버는 단일 로직 디바이스에 포함되는 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  43. 제 42 항에 있어서,
    상기 단일 로직 디바이스는 하나 이상의 집적 회로 제조 프로세스 디바이스와 결합되어 있는 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  44. 제 43 항에 있어서,
    상기 집적회로 제조 프로세스 디바이스는 리소그래피 유닛인 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  45. 제 43 항에 있어서,
    상기 집적회로 제조 프로세스 디바이스는 포토레지스트 스트립핑 유닛인 프로파일에 기초한 시뮬레이션 정보의 실시간으로 결정하는 시스템.
  46. 컴퓨터를 동작시키는 명령에 의해 집적회로의 프로파일에 기초한 시뮬레이션 데이터에 관한 문의에 대한 응답을 제공하는 컴퓨터 실행가능 코드를 포함하는 컴퓨터 판독가능 저장 매체로서,
    질문은 문의 형태 및 소정의 데이터 질문을 포함하고, 질문 디바이스로부터 상기 질문을 수신하는 단계;
    선택은 상기 문의 형태 및 소정의 데이터 질문에 의해 결정되고, 시뮬레이션 데이터 스토어의 선택된 하나 이상의 인스턴스를 액세싱하는 단계; 및
    상기 질문에 대한 응답을 공식화하는 단계와 상기 질문 디바이스에 대한 응답을 전송하는 단계로 이루어지고,
    상기 시뮬레이션 데이터 스토어 인스턴스는 구조물 프로파일 데이터, 제조 특성, 신호, 및 프로세스 제어 파라미터를 포함하는 데이터 구성요소를 가지는 컴퓨터 판독가능 저장 매체.
  47. 컴퓨터를 동작시키는 명령에 의해 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 컴퓨터 실행가능 코드를 포함하는 컴퓨터 판독가능 저장 매체로서,
    제조 프로세스 시뮬레이션은 제조 특성과 구조물 프로파일 데이터를 발생시키고, 프로세스 제어 파라미터를 사용하여 상기 제조 프로세스 시뮬레이션을 수행하는 단계;
    계측 시뮬레이터를 사용하여 상기 구조물 프로파일 데이터에 대한 시뮬레이트된 신호를 계산하는 단계;
    시뮬레이션 데이터 스토어의 인스턴스는 상기 구조물 프로파일 데이터, 관련된 제조 특성, 시뮬레이트된 신호, 및 프로세스 제어 파라미터를 포함하는 데이터 구성요소를 가지는, 상기 시뮬레이션 데이터 스토어를 생성하는 단계로 이루어지는 컴퓨터 판독가능 저장 매체.
  48. 컴퓨터를 동작시키는 명령에 의해 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 컴퓨터 실행가능 코드를 포함하는 컴퓨터 판독가능 저장 매체로서,
    디바이스 시뮬레이션은 디바이스 특성을 발생시키고, 입력 파라미터의 세트는 하나 이상의 시뮬레이트된 디바이스에 대응하는 프로파일 데이터를 포함하는, 상기 입력 파라미터의 선택된 세트를 사용하여 하나 이상의 디바이스를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 하나 이상 시뮬레이트된 디바이스에 대응하는 프로파일 데이터를 사용하고, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 계산된 계측 신호, 프로파일 데이터, 시뮬레이션 형태, 프로세스 제어 파라미터, 및 제조 특성을 포함하고, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계로 이루어지고,
    상기 시뮬레이션 형태가 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 컴퓨터 판독가능 저장 매체.
  49. 컴퓨터를 동작시키는 명령에 의해 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하는 컴퓨터 실행가능 코드를 포함하는 컴퓨터 판독가능 저장 매체로서,
    회로는 하나 이상의 디바이스를 가지고, 회로 시뮬레이션은 회로 특성을 발생시키며, 입력 파라미터의 세트는 시뮬레이트된 하나 이상의 회로의 하나 이상의 디바이스에 대응하는 프로파일 데이터를 포함하는, 상기 입력 파라미터의 선택된 세트를 사용하여 하나 이상의 회로를 시뮬레이팅하는 단계;
    계측 시뮬레이터는 상기 시뮬레이트된 하나 이상의 회로에 대응하는 프로파일 데이터를 사용하고, 상기 계측 시뮬레이터로 계산된 신호를 발생시키는 단계; 및
    시뮬레이션 데이터 스토어 인스턴스는 계산된 계측 신호, 프로파일 데이터, 시뮬레이션 형태, 프로세스 제어 파라미터, 및 회로 특성을 포함하는, 상기 시뮬레이션 데이터 스토어 인스턴스를 생성하는 단계로 이루어지고,
    상기 시뮬레이션 형태가 상기 하나 이상의 시뮬레이션이 수행되는 특성 표시인 컴퓨터 판독가능 저장 매체.
  50. 집적회로에 대한 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하고 사용하는 서비스를 제공하는 방법으로서,
    구매자는 시스템, 프로세스, 및 프로파일에 기초한 시뮬레이션 데이터 스토어를 생성하고 사용하는 절차의 사용에 대한 보수를 매각자에게 지급하는, 상기 구매자와 매각자가 계약하는 단계;
    상기 시뮬레이션 데이터 스토어는 프로파일 데이터, 계측 신호, 프로세스 제어 파라미터, 및 제조 특성을 포함하는 데이터 구성요소를 가지는 인스턴스를 저장하고, 상기 매각자는 구매자에게 시스템, 프로세스, 및 프로파일에 기초한 시뮬레이션 데이터 스토어에 액세스를 제공하는 단계를 포함하는 서비스 제공방법.
  51. 집적회로에 대한 프로파일에 기초한 데이터 스토어로서,
    시뮬레이션 데이터 스토어의 각 인스턴스는 프로파일 데이터, 관련된 계측 신호 및 하나 이상의 시뮬레이션 데이터 세그먼트를 포함하는, 하나 이상의 시뮬레이션 데이터 스토어 인스턴스를 포함하고,
    상기 계측 신호는 상기 프로파일 데이터에 의해 특징화된 프로파일을 가지는 집적회로 구조물에 대응하며,
    상기 각 세그먼트는 시뮬레이션 형태, 관련된 프로세스 제어 파라미터 또는 관련된 시뮬레이션 입력 파라미터, 및 관련된 시뮬레이션 특성을 포함하며,
    상기 관련된 시뮬레이션 특성은 상기 프로세스 제어 파라미터 또는 상기 관련된 시뮬레이션 입력 파라미터를 사용하는 상기 시뮬레이션에 의해 결정된 데이터를 포함하는 프로파일에 기초한 데이터 스토어.
  52. 제 51 항에 있어서,
    상기 시뮬레이션 특성은 제조 프로세스 특성, 디바이스 특성, 또는 상기 시뮬레이션 형태에 따라 결정되는 회로 특성인 프로파일에 기초한 데이터 스토어.
KR10-2003-0012705A 2002-02-28 2003-02-28 집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및이용 KR100525567B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/087,069 2002-02-28
US10/087,069 US7136796B2 (en) 2002-02-28 2002-02-28 Generation and use of integrated circuit profile-based simulation information

Publications (2)

Publication Number Publication Date
KR20030071575A true KR20030071575A (ko) 2003-09-03
KR100525567B1 KR100525567B1 (ko) 2005-11-03

Family

ID=27733421

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0012705A KR100525567B1 (ko) 2002-02-28 2003-02-28 집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및이용

Country Status (6)

Country Link
US (2) US7136796B2 (ko)
EP (1) EP1341225A2 (ko)
JP (3) JP2003324041A (ko)
KR (1) KR100525567B1 (ko)
SG (1) SG102707A1 (ko)
TW (1) TWI273457B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100576766B1 (ko) * 2003-09-05 2006-05-08 가부시끼가이샤 도시바 제조공정 설계방법과, 제조공정 설계지원방법 및,캐드시스템
WO2013043831A3 (en) * 2011-09-23 2013-05-23 Kla-Tencor Corporation Process aware metrology
US9235664B2 (en) 2008-10-30 2016-01-12 Samsung Electronics Co., Ltd. Systems and methods for executing unified process-device-circuit simulation

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US7131076B2 (en) * 2002-08-21 2006-10-31 Synopsys Inc. Method of interactive visualization and parameter selection for engineering design
US7263477B2 (en) * 2003-06-09 2007-08-28 Cadence Design Systems, Inc. Method and apparatus for modeling devices having different geometries
US7441219B2 (en) * 2003-06-24 2008-10-21 National Semiconductor Corporation Method for creating, modifying, and simulating electrical circuits over the internet
WO2005069082A1 (en) 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
JP4792274B2 (ja) * 2004-10-29 2011-10-12 パナソニック株式会社 等価材料定数算出システム、等価材料定数算出プログラム、等価材料定数算出方法、設計システムおよび構造体の製造方法
WO2007036246A1 (de) * 2005-09-27 2007-04-05 Sigma-C Software Ag Verfahren zur simulation eines post-exposure-bake (peb) - prozesses in einem lithographieverfahren
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7902063B2 (en) * 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
JP4709639B2 (ja) 2005-12-12 2011-06-22 株式会社東芝 マスクパターン評価方法及び評価装置
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
KR101388389B1 (ko) * 2006-02-10 2014-04-22 인터몰레큘러 인코퍼레이티드 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치
JP4899086B2 (ja) * 2006-03-16 2012-03-21 富士通セミコンダクター株式会社 荷電粒子ビーム露光データの補正工程を有する半導体装置の製造方法
US7490304B2 (en) * 2006-06-23 2009-02-10 International Business Machines Corporation Determining geometrical configuration of interconnect structure
US20080076046A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US7555395B2 (en) * 2006-09-26 2009-06-30 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask to create a profile library
US20080074678A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited Accuracy of optical metrology measurements
US7763404B2 (en) * 2006-09-26 2010-07-27 Tokyo Electron Limited Methods and apparatus for changing the optical properties of resists
US20080074677A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US7644388B1 (en) * 2006-09-29 2010-01-05 Pdf Solutions, Inc. Method for reducing layout printability effects on semiconductor device performance
US7504705B2 (en) * 2006-09-29 2009-03-17 International Business Machines Corporation Striped on-chip inductor
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7386817B1 (en) * 2007-01-02 2008-06-10 International Business Machines Corporation Method of determining stopping powers of design structures with respect to a traveling particle
US20090164183A1 (en) * 2007-12-20 2009-06-25 International Business Machines Corporation Methodology for Thermal Modeling of On-Chip Interconnects Based on Electromagnetic Simulation Tools
WO2010019147A1 (en) 2008-08-14 2010-02-18 Lsi Corporation System and method for designing integrated circuits that employ adaptive voltage scaling optimization
US20100058157A1 (en) * 2008-09-01 2010-03-04 SAM Group, Inc. System And Method For Analyzing A Plurality Of Information Systems
KR20100078433A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 사다리꼴 금속배선의 시뮬레이션을 위한 모델링 구조
TWI406147B (zh) * 2009-11-06 2013-08-21 Lsi Corp 用於設計使用適應性電壓及比例最佳化之積體電路之系統及方法
US8713511B1 (en) * 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US8966417B2 (en) * 2012-05-04 2015-02-24 Trajectory Design Automation Corporation Method and system for estimating a diffusion potential of a diffusive property
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US8959464B2 (en) * 2013-03-14 2015-02-17 Coventor, Inc. Multi-etch process using material-specific behavioral parameters in 3-D virtual fabrication environment
US9317632B2 (en) * 2013-03-14 2016-04-19 Coventor, Inc. System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
JP6758575B2 (ja) 2014-02-24 2020-09-23 東京エレクトロン株式会社 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
KR102402422B1 (ko) 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
CN103984094B (zh) * 2014-05-22 2017-04-12 中国科学院光电技术研究所 一种光学系统热性能仿真方法
US10138550B2 (en) * 2014-09-10 2018-11-27 Toshiba Memory Corporation Film deposition method and an apparatus
US20160217405A1 (en) * 2015-01-28 2016-07-28 Jochen Steinbach Change Requests
CN105261565B (zh) * 2015-09-08 2018-05-08 浙江省能源与核技术应用研究院 一种增大单向微触发可控硅触发电流的方法
CN105930261B (zh) 2016-01-16 2018-06-22 平安科技(深圳)有限公司 测试方法及测试终端
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
KR102350572B1 (ko) * 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
KR102371157B1 (ko) 2016-05-30 2022-03-04 코벤터, 인크. 3d 가상 제조 환경에서 전기적 거동 모델링을 위한 시스템 및 방법
CN106382117B (zh) * 2016-11-03 2018-11-02 太原理工大学 横轴式掘进机截齿及其截割头载荷模拟方法
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
JP7097757B2 (ja) 2017-06-18 2022-07-08 コベンター・インコーポレーテッド 仮想半導体デバイス製作環境におけるキーパラメータ識別、プロセスモデル較正、及び変動性解析のためのシステムと方法
CN107368655A (zh) * 2017-07-21 2017-11-21 中冶集团武汉勘察研究院有限公司 抽水蓄能电站动态监测监控与仿真培训系统及其实现方法
US11138358B2 (en) * 2017-09-29 2021-10-05 Texas Instruments Incorporated Simulation and analysis of circuit designs
US11379633B2 (en) * 2019-06-05 2022-07-05 X Development Llc Cascading models for optimization of fabrication and design of a physical device
JP7482910B2 (ja) * 2019-07-03 2024-05-14 エーエスエムエル ネザーランズ ビー.ブイ. 半導体製造プロセスにおいて堆積モデルを適用する方法
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN111523160B (zh) * 2020-05-06 2024-02-02 全芯智造技术有限公司 用于仿真集成电路的方法和设备以及计算机可读介质

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5256561A (en) * 1991-12-20 1993-10-26 Abbott Laboratories Monoclonal antibody to HIV-2 and uses thereof
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5754826A (en) * 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5923567A (en) * 1996-04-10 1999-07-13 Altera Corporation Method and device for test vector analysis
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JPH1167916A (ja) * 1997-08-12 1999-03-09 Nec Corp 論理セルライブラリ生成装置と配線レイアウト方法及び配線レイアウト装置
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
JPH11353338A (ja) * 1998-06-04 1999-12-24 Mitsubishi Electric Corp 集積回路のシミュレーション方法および記録媒体
JP4427767B2 (ja) * 1999-07-02 2010-03-10 株式会社ニコン 測定方法
US20010051856A1 (en) 2000-01-26 2001-12-13 Xinhui Niu Caching of intra-layer calculations for rapid rigorous coupled-wave analyses
US6968303B1 (en) * 2000-04-13 2005-11-22 Advanced Micro Devices, Inc. Automated system for extracting and combining tool trace data and wafer electrical test (WET) data for semiconductor processing
US6694275B1 (en) * 2000-06-02 2004-02-17 Timbre Technologies, Inc. Profiler business model
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100576766B1 (ko) * 2003-09-05 2006-05-08 가부시끼가이샤 도시바 제조공정 설계방법과, 제조공정 설계지원방법 및,캐드시스템
US9235664B2 (en) 2008-10-30 2016-01-12 Samsung Electronics Co., Ltd. Systems and methods for executing unified process-device-circuit simulation
WO2013043831A3 (en) * 2011-09-23 2013-05-23 Kla-Tencor Corporation Process aware metrology
US8468471B2 (en) 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8832611B2 (en) 2011-09-23 2014-09-09 Kla-Tencor Corp. Process aware metrology

Also Published As

Publication number Publication date
US20030163295A1 (en) 2003-08-28
KR100525567B1 (ko) 2005-11-03
JP2003324041A (ja) 2003-11-14
JP4838217B2 (ja) 2011-12-14
TWI273457B (en) 2007-02-11
SG102707A1 (en) 2004-03-26
EP1341225A2 (en) 2003-09-03
US20070118349A1 (en) 2007-05-24
US7136796B2 (en) 2006-11-14
JP2011082562A (ja) 2011-04-21
TW200304079A (en) 2003-09-16
US7580823B2 (en) 2009-08-25
JP2008028418A (ja) 2008-02-07

Similar Documents

Publication Publication Date Title
KR100525567B1 (ko) 집적회로 프로파일에 기초한 시뮬레이션 정보의 생성 및이용
KR102411813B1 (ko) 디바이스 제조 프로세스의 수율의 예측 방법
US7673278B2 (en) Enhanced process yield using a hot-spot library
EP2188832B1 (en) Apparatus and methods for predicting a semiconductor parameter across an area of the wafer
US7072049B2 (en) Model optimization for structures with additional materials
US9255877B2 (en) Metrology system optimization for parameter tracking
KR101281212B1 (ko) 광학 계측을 위한 모델 및 파라미터 선택
TWI721645B (zh) 預測半導體製程良率之方法
KR101723688B1 (ko) 마이크로 브리징 및 러프니스 분석
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
US8832611B2 (en) Process aware metrology
JP4824299B2 (ja) 集積回路構造のプロファイルを決定する方法及びシステム又はコンピュータ読取可能な記録媒体
JP3774153B2 (ja) マクロ格子テストパターンプロファイルデータ取得システムおよび方法
IL264500A (en) Measurement of multiple pattern parameters
US7667858B2 (en) Automated process control using optical metrology and a correlation between profile models and key profile shape variables
TWI726483B (zh) 用於判定一半導體製造程序事件之根本原因之方法、電腦程式、及非暫時性電腦程式載體
KR101357326B1 (ko) 패턴화 구조 검사 시스템
US7596422B2 (en) Determining one or more profile parameters of a structure using optical metrology and a correlation between profile models and key profile shape variables
KR101461667B1 (ko) 패턴화 구조 검사 장치 및 계측 데이터 관리 방법
JP7523682B2 (ja) 半導体デバイス製造方法及び半導体製造アセンブリ用プロセス制御システム
Lensing et al. Shallow trench isolation scatterometry metrology in a high volume fab

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101020

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee