KR20030003703A - 반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염감소 방법 및 장치 - Google Patents

반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염감소 방법 및 장치 Download PDF

Info

Publication number
KR20030003703A
KR20030003703A KR1020027012793A KR20027012793A KR20030003703A KR 20030003703 A KR20030003703 A KR 20030003703A KR 1020027012793 A KR1020027012793 A KR 1020027012793A KR 20027012793 A KR20027012793 A KR 20027012793A KR 20030003703 A KR20030003703 A KR 20030003703A
Authority
KR
South Korea
Prior art keywords
load lock
heating
loadlock
purge gas
heater
Prior art date
Application number
KR1020027012793A
Other languages
English (en)
Inventor
매튜 에프. 데이비스
더글라스 알. 맥캘리스터
데이비드 에반스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20030003703A publication Critical patent/KR20030003703A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

로드록 내부에 오염물의 형성을 방해하도록 로드록을 가열하는 방법 및 장치이다. 하나 이상의 히터가 로드록 내부 표면의 오염물을 비등시키기 위해 로드록의 벽에 부착된다. 이와는 달리, 로드록이 가열되는 동안에 퍼지가스가 로드록에 공급될 수 있다. 퍼지 가스의 흐름에 의해 로드록으로부터 떨어진 오염물을 세정한다.

Description

반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염 감소 방법 및 장치 {METHOD AND APPARATUS FOR REDUCING CONTAMINATION IN A WAFER LOADLOCK OF A SEMICONDUCTOR WAFER PROCESSING SYSTEM}
반도체 웨이퍼 처리 시스템은 복수의 웨이퍼가 내부에 적층되어 시스템 내부에서의 처리 공정을 대기하는 로드록을 포함한다. 이들 웨이퍼는 로봇에 의해 한 번에 하나씩 로드록으로부터 제거되어 시스템 내의 다양한 처리 챔버로 이송된다. 일단 처리되면, 웨이퍼는 시스템으로부터 제거하기 위해 처리챔버로부터 로드록 내의 웨이퍼 카세트로 복귀된다.
시스템 내에서의 웨이퍼 처리중에, 오염물질이 웨이퍼 상에 흡수된다. 통상적으로, 반응가스도 웨이퍼 상에 흡수되며, 웨이퍼가 로드록으로 복귀할 때 흡수된 물질이 제거된다. 제거된 가스는 로드록 내의 흡수와 결합하여 로드록 및 웨이퍼의 내측 표면을 덮는 부식성 필름을 형성한다. 이러한 내측 표면의 코팅은 로드록 내부의 표면을 부식시키고 웨이퍼 상에 응축 입자들 형성하는 원인이 된다. 표면 부식은 로드록에 분산되어 웨이퍼를 오염시키는 다량의 부식 부산물 입자들을 형성하게 된다.
본 발명은 반도체 웨이퍼 처리 시스템, 특히 반도체 웨이퍼 처리 시스템의 로드록 내부의 오염을 제어하는 방법 및 장치에 관한 것이다.
본 발명의 사상은 다음의 첨부도면을 참조하여 상세한 설명을 고려함으로써 용이하게 이해될 수 있다.
도 1은 하나 이상의 로드록으로부터 오염물을 제거하기 위한 장치를 포함하는 반도체 처리 시스템을 도시하는 도면이며,
도 2는 로드록의 외측면에 부착된 복수의 블랭킷 히터를 갖춘 로드록의 사시도이며,
도 3은 3-3 선을 따라 취한 도 2 로드록의 횡단면도이며,
도 4는 히터 배열에 관한 개략적인 설계도이며,
도 5는 본 발명의 작동을 설명하는 흐름도이다.
그러므로, 본 기술분에 있어서 로드록 내부의 부식성 오염물을 제어하는 방법 및 장치가 필요했다.
종래기술과 관련된 단점들은 로드록의 대기를 가열시키는 방법 및 장치에 의해 극복된다. 특히, 상기 장치는 로드록을 가열시켜 부식성 부산물 입자의 형성을 방해한다. 또한, 상기 장치는 퍼지 가스를 로드록으로 공급하여 로드록으로부터 습기와 부식 가스를 희석 및 제거할 수 있다. 로드록으로 열을 공급하는 하나 이상의 히터가 로드록의 벽에 부착되어 오염물을 로드록 내부의 표면으로부터 제거한다. 이렇게 제거된 오염물은 진공 펌프에 의해 로드록으로부터 배기되거나 퍼지 가스의 흐름에 의해 로드록으로부터 세정된다. 이와 같이, 가열 및 세정의 조합에 의해 로드록으로부터 습기와 부식 가스를 효과적으로 제거하여 웨이퍼 오염원을 제거할 수 있다.
이해를 용이하게 하기 위해 동일한 도면부호가 도면에 있어서 공통인 동일한 소자를 지칭하는데 사용되었다.
도 1은 본 발명의 로드록 오염물 제어 시스템(104)에 연결된 시스템 하드웨어(102)를 포함하는 반도체 웨이퍼 처리 시스템(100)을 도시한다. 상기 시스템 하드웨어는 오염물 제어 시스템이 웨이퍼 오염물의 공급원을 제거하도록 로드록 내부의 대기를 가열 및 배기하는 기술을 제공하는 한 본 기술분야에 일반적으로 공지된 방식으로 작동한다. 퍼지 가스는 가열중에 로드록으로 공급될 수 있다.
특히, 웨이퍼 처리 하드웨어(102)는 복수의 프로세스 챔버(112,110), 및 이송 챔버(103)를 갖추고 있는 중앙 플랫폼(113)에 연결된 한 쌍의 로드록(122,124)을 포함한다. 이송 챔버(104) 내부에는 하나의 공정으로부터 다른 공정으로, 그리고 로드록과 프로세스 챔버 사이로 반도체 웨이퍼를 운반하는 로봇(106)이 제공되어 있으며, 상기 로봇은 로봇 아암의 말단부에 위치된 블레이드(108)를 갖추고 있다. 로봇 블레이드(108)는 각각의 슬릿 밸브(114,116)를 통과하는 챔버(112,110)로의 어세스를 가진다. 상기 플랫폼도 적어도 하나의 로드록(122)에 연결된다. 도시된 플랫폼에는 두 개의 로드록(122,124)이 제공되어 있다. 각각의 로드록(122,124)은 슬릿 밸브(118,120)를 경유하여 이송 챔버(104)에 각각 연결된다.
작동시, 로드록 내부의 웨이퍼(128,126)는 각각의 슬릿 밸브(118,124)를 통해서 로봇 블레이드(108)에 의해 출납된다. 웨이퍼는 처리공정이 수행되는 특정 프로세스 챔버(112 또는 110)로 운반되며 처리후 시스템으로부터 제거하기 위해 로드록으로 복귀된다. 통상적으로 부식제와 기타 처리 부산물들은 웨이퍼를 챔버(112,110) 내부에서 처리할 때 웨이퍼에 흡착되며 로드록 오염물 제어 시스템(104)에 의해 이러한 부식제들이 제거된다. 이와 같이, 부식제들이 로드록 내측 표면을 침식시키는 것과 로드록 습기와 결합하여 로드록 내부에 있는 미처리된 웨이퍼 상에 응축 미립자들을 형성하는 것을 방지해야 한다.
본 발명의 일 실시예에서, 오염물 제어 시스템(104)은 가스 유입 서브시스템(160), 가스 배기 서브시스템(162) 및 로드록 가열 서브시스템(164)을 포함한다. 가스 유입 서브시스템(160)은 선택적이다. 가스 유입 서브시스템(160)은 가스 공급원(140), 복수의 밸브(136A,136B,136C) 및 복수의 세트 스크류 (138A,138B)를 포함한다. 배기 시스템은 한 쌍의 밸브(134A,134B) 및 펌프(144)를 포함한다. 히터 서브시스템은 히터 제어기(146), 열전쌍(130) 및 하나 이상의 히터 소자(132)를 포함하며, 상기 히터 소자는 로드록(122,124)의 측벽(들)에 부착되거나 매설된다.
작동시, 가스 공급원(140)은 질소와 같은 불활성 가스를 밸브(136C) 및 세트 스크류(138A,138B) 및 밸브(136A,136B)를 통해서 로드록(122,124)으로 공급한다. 세트 스크류(138A,138B)은 시스템 초기화시 로드록 내부의 압력이 습기와 부식제의제거에 효율적인 상태가 되도록 하나의 로드록과 다른 하나의 로드록 사이에서 가스 유동율이 균형을 이루게 하기 위해 챔버 내측으로의 유동율을 설정하는데 사용되는 니이들 밸브이다. 복수의 밸브(136A,136B,136C)는 추가의 웨이퍼를 로드록에 공급하거나 로드록으로부터 제거하도록 개방되는 로드록으로부터 가스가 분리될 수 있도록 각각의 로드록으로의 가스 유동을 제어하는데 사용된다.
펌핑 시스템은 배기 가스를 펌프(144)로 운반하는 매니폴드(135)에 연결된 한 쌍의 배기 밸브(134A,134B)를 포함한다. 이러한 방식으로, 불활성 가스는 로드록으로 공급되고 로드록을 통해 흐름으로써 오염물이 로드록으로부터 가스 유동을 통해 펌프(144)로 제거되게 된다. 가스 유동은 대략 250 sccm으로 유지되는데, 이는 각각의 로드록 내부의 압력을 400-500 mT으로 유지한다.
로드록 표면상에 부식입자들이 형성되는 것을 방지하기 위해 하나 이상의 히터 소자(132)가 각각의 로드록(122,124)의 측벽에 추착 또는 매설되어 있다. 히터 제어기는 전류를 히터 소자에 공급하여 로드록(122) 내부의 내측 가스를 가열한다. 로드록의 내측은 대략 50-55 ℃ 이상으로 유지된다. 가열 공정의 동력학적 제어를 촉진시키기 위해 하나 이상의 열전쌍(130)이 로드록 벽에 부착된다. 열전쌍(130)으로부터의 출력 전압은 열전쌍으로부터의 신호에 응답하여 히터에 인가된 전압을 조절함으로써 로드록 내부의 온도를 일정하게 유지하는 히터 제어기(146)에 연결된다. 상기 온도는 로드록 내부의 상부로부터 바닥으로 변경되는데, 그 온도는 대략 5-6 ℃이다. 이러한 엄격한 온도차를 유지하기 위해서 히터 제어기가 히터 제어기가 복수의 영역을 제어하는데 사용되며 복수의 열전쌍이 각각의 영역에 대한 피이드백 전압을 제공하는데 사용된다. 띠형 히터 제어 시스템은 도 4와 관련하여 상세히 설명되어 있다.
오염물 제어 시스템(104)은 웨이퍼 처리 시스템 제어기(148)의 일부를 형성할 수 있는 제어기(150)를 포함한다. 제어기(150)는 중앙 처리유닛(CPU,152), 메모리(158), 서포트 회로(156) 및 입출력(I/O) 회로(154)를 포함한다. CPU(152)는 메모리(158)에 내장된 소프트웨어(159)를 실행함으로써 프로그램될 때에는 오염물 제어 시스템(104)의 하드웨어 부품을 제어하는 특정 용도의 컴퓨터일 수 있는 범용 컴퓨터이다. 메모리(158)는 판독 전용 메모리, 랜덤 어세스 메모리, 제거가능한 저장장치, 하드 디스크 드라이브, 또는 어떤 형태의 디지탈 메모리 장치를 포함할 수 있다. I/O 회로는 공지된, 정보 출력용 또는 정보 입력용 디스플레이, 키이보드, 마우스, 및 트랙 볼을 포함한다. 서포트 회로(156)는 본 기술분야에 공지되어 있으며 캐쉬, 클록, 동력 공급원 등의 회로를 포함한다.
메모리(158)는 CPU(152)에 의해 실행될 때 제어기가 오염물 제어 시스템(104)의 다수의 부품을 디지탈 제어할 수 있게 하는 제어 소프트웨어(159)를 포함한다. 제어 소프트웨어에 의해 실행되는 공정에 대해서는 도 5와 관련하여 상세히 설명되어 있다.
히터 제어기(146)는 일반적으로 자동제어 방식이지만, 히터 제어기(146)는 제어기에 히터 작동과 관련된 오류 및 에러 정보를 제공한다. 이와는 달리, 히터 제어기는 디지탈 시스템의 제어기(150)의 일부일 수 있다. 실제로, 시스템(102) 및 오염물 제어 시스템(104)에서 발생하는 공정을 제어하는 반도체 웨이퍼 처리 시스템(102)의 제어기(148)는 제어기(150) 및 히터 제어기(146)를 에워싸고 있는 점선 박스에 의해 도시된 바와 같이 히터 제어기(146)와 결합될 수 있다.
도 2는 각각의 로드록(122)의 사시도이며, 도 3은 도 2의 3-3선에 따라 취한 로드록(122)의 횡단면도이다. 도 2 및 도 3은 본 발명을 이해하기 위해 동시에 참조해야 한다.
로드록(122)은 상부면(122T), 바닥면(122B), 및 4 개의 측면(122S1-122S4)을 포함한다. 측면(122S4)은 도어(200)에 의해 덮힌 구멍을 포함한다. 도어(200), 상부면(122T), 바닥면(122B), 및 측면(122S1,122S3)에는 히터 소자(132)가 부착된다. 도시된 실시예에서 히터 소자(132)는 자체 접착식 저항 블랭킷 히터이다. 이와는 달리, 저항식 블랭킷 히터(132)는 매설된 히터 카트리지 및 가열된 유체를 운반하는 도관에 의해 대체될 수 있다. 적외선 램프와 같은 다른 외부 히터도 본 발명의 범주 내에서 고려될 수 있다. 히터는 로드록 내부에 있는 오염물을 분리시킬 수 있는 온도로 로드록(122)의 내측 대기를 가열하는데 필요하다. (도면 부호 310으로부터 표시된)흡수된 부식 가스는 로드록(122) 내부의 대기를 가열함으로써 분리되어 퍼지 가스의 유동에 의해 로드록으로부터 배기된다. 통상적으로 흡착된 재료는 브롬화 수소(HBr)를 포함하는데, 이는 50-55 ℃ 이상의 온도에서 분리된다.
가스는 다공성 세라믹 소자(204)를 통해 공급된다. 상기 소자(204)는 전해 연마된 스테인레스 강으로 제조된 플랜지(300) 및 크기가 0.5 μ인 알루미나 부분(302)을 포함한다. 세라믹 소자(204)는 플랜지(300)를 경유하여 측벽(122S3)에장착되며 불활성 가스를 운반하는 도관이 상기 세라믹 소자(204)에 연결된다. 상기 플랜지(300)는 측벽(122S3)에 대해 밀봉되어 있다. 가스는 챔버로 유입되며 가스가 고속으로 유입되지 않고 웨이퍼 카세트(306) 내부에 포함된 웨이퍼(308) 전체에 분포되도록 세라믹 소자에 의해 분산된다. 배기 매니폴드 내에서 부식제의 재응축이 발생되지 않도록 하기 위해, 도관을 상승된 온도로 유지하도록 펌프에 이어져 있는 도관 위에 히터 소자가 놓여질 수 있다.
도 4는 히터 제어기(400,402,404) 및 복수의 히터 영역 회로(146)를 포함하는 가열 시스템(164)의 개략적인 다이어그램이다. 각각의 영역은 열전쌍(1301,1302,1303) 및 가열 소자(1321,1322,1323)를 포함한다. 어떤 주어진 영역에서는 로드록의 여러 영역이 하나 이상의 열전쌍 신호에 응답하여 가열되도록 여러 가열 소자를 포함할 수 있다. 예를들어, 영역(400)은 로드록의 한 측면에 열전쌍과 다른 한 측면(122S1,122S2,122S4)에 가열소자를 포함한다. 반면에, 제 2 영역(402)은 도어(200)에 열전쌍(1302)과 도어의 상부에 위치된 가열 패드(132)를 포함한다. 제 3 영역은 챔버의 상부면(122T)에 열전쌍과 그 상부에 위치된 히터 패드(132)를 포함할 수 있다. 각각의 영역은 로드록 내부의 내기를 균일하게 가열하도록 온도를 조절하기 위해 독립적으로 제어된다. 열전쌍의 온도와 연관된 전압을 감시하기 위한 표준 피이드백 회로의 사용을 통해서, 히터에 공급되는 전류가 제어된다. 이와 같이, 로드록 전반에 걸친 온도는 약 50℃에서 ±5℃ 범위내에서 균일하게 유지된다. 보다 높은 온도도 사용될 수 있다.
두 개의 챔버가 도 1에 도시된 바와 같이 동시에 사용될 때, 밸브 조립체는 제 2 로드록이 대기에 개방되어 있는 동안에 웨이퍼를 하드웨어로 공급하는데 하나의 로드록이 사용될 수 있게 하는데 사용된다. 이와 같이, 두 개의 챔버(122,124) 사이의 통풍 및 펌핑에 관한 임의 조합이 제공될 수 있다. 밸브의 선택적인 개폐에 의해, 본 발명의 시스템은 하나의 챔버로부터 다른 챔버로의 가스의 역류를 방지할 수 있다.
도 5는 본 발명에 사용되는 공정의 흐름도이다. 이러한 공정은 도 1의 로드록(122,124)중 하나나 두 개의 펌핑 및 통풍에 관한 임의 조합을 제공한다. 공정(550)은 CPU(152)의 제어 소프트웨어(159)를 실행함으로써 실시된다. 공정(550)은 로드록(122,124)이 개방되어 있고, 밸브(136A,B,C)가 폐쇄되어 있고 모든 히터가 작동상태에 있는 초기 상태에서 시스템(104)에서 시작된다. 단계(502)에서, 웨이퍼 카세트는 로드록(122) 내에 놓이고 도어는 로드록이 "로드/언로드" 명령을 발령할 때 폐쇄된다. 단계 (504)에서, 밸브(134A)는 개방된다. 단계 (506)에서, 루틴은 로드록(122) 내부의 압력(P122)이 기본 로드록 압력(PB)보다 적은 지를 문의한다. 로드록 압력이 기본 압력에 도달할 때, 루틴은 단계(508)를 처리한다. 단계 (508)에서, 밸브(136A,136C)는 개방되고 로드록(122)은 400-500 mT의 정상 압력으로 배기된다. 단계 (510)에서, 퍼지 가스와 열에 의해 오염물을 제거하면, 웨이퍼는 웨이퍼 처리 하드웨어(102)로부터, 그리고 상기 하드웨어로 하나씩 이송된다. 단계 (512)에서, 공정은 제 2 로드록(124)을 사용할 것인 지를 문의한다. 일반적으로, 이러한 문의는 카세트가 로드록(124) 내부에 놓여지고 "로드" 버튼이 눌려짐으로써 대답한다. 로드(LOAD ) 요청이 이루어지지 않으면 공정은 단계 (514)에서 종료한다. 로드 요청이 이루어지면 공정(550)은 단계 (516)로 진행된다.
단계 (516)에서, 밸브(136C)는 불활성 가스의 흐름을 일시적으로 정지시키도록 폐쇄된다. 그후, 단계 (518)에서, 밸브(136A)는 로드록을 서로 차단하도록 폐쇄된다. 단계 (522)에서 로드록(122)으로부터 펌프를 차단하도록 밸브(134A)가 폐쇄되기 이전에 약 1초의 지연이 단계 (520)에서 발생한다. 약 1초의 지연이 단계 (524)에서 발생한 후에, 단계(526)에서 밸브(134B)가 개방된다. 단계 (528)에서, 루틴은 로드록(124) 내부의 압력이 로드록(122)내부의 압력보다 적은 지를 문의한다. 로드록(124) 내부의 압력(P124)이 로드록(122) 내부의 압력(P122) 이상이면 루틴은 단계(530)로 진행한다. 그후, 단계 (530)에서 밸브(134A)는 로드록(124)을 400-500 mT로 펌프하도록 개방된다. 단계 (531)에서, 밸브(136A,136B)는 개방된다. 그후, 단계 (532)에서, 루틴은 약 1초의 지연을 기다린다. 불활성 가스를 공급하기 위해, 밸브(136C)는 단계(534)에서 개방되고 공정(550)은 단계(536)에서 종료한다. 이 때에, 로드록(550)은 가열되고 오염물이 세정된다.
웨이퍼 카세트를 언로딩하기 위해, 일반적으로 작동자는 로드록 중의 하나, 예를들어 로드록(122)에 대응하는 "언로드" 버튼을 누른다. 자동 언로드 시퀀스는 소프트웨어에 의해 실행될 수 있다. 어느 하나의 예에서, 밸브(136A)가 폐쇄된 후에 밸브(134A)가 폐쇄된다. 로드록 내부의 대기는 질소를 함유한 대기압으로 배기된다. 이러한 방식으로 어느 하나의 로드록이 오염 제어 시스템과 차단되어 카세트가 제거될 수 있게 하나 다른 로드록이 사용될 수도 있다. 새로운 카세트가 로딩되면, 로드록(122)에 펌핑되어 공정(550)중의 단계(516 내지 536)들에 의해 세정된다. 그러나 밸브(136B)는 밸브(136A)로, 밸브(134B)는 밸브(134A) 등으로 대체된다. 또한, 로드록(124)의 언로딩을 위하여, 밸브(134B,136B)가 로드록(124)을 차단하기 위해 사용된 것을 제외하면 로드록(122)의 언로딩에 대해 전술한 공정이 사용될 수 있다.
본 발명의 사상을 구현한 다수의 실시예들이 도시되고 상세히 설명되었지만, 본 기술분야의 당업자들은 본 발명의 사상을 여전히 구현할 수 있는 보다 많은 실시예예들이 용이하게 실현될 수 있다고 이해할 것이다.

Claims (29)

  1. 로드록 내부의 대기를 가열하는 단계, 및
    상기 로드록으로부터 오염물을 제거하도록 상기 로드록으로부터 대기를 펌핑하는 단계를 포함하는 로드록 내부의 오염물 제어 방법.
  2. 제 1 항에 있어서, 상기 로드록으로 퍼지 가스를 연속적으로 공급하는 단계를 더 포함하는 로드록 내부의 오염물 제어 방법.
  3. 제 2 항에 있어서, 상기 퍼지 가스는 불활성 가스인 로드록 내부의 오염물 제어 방법.
  4. 제 3 항에 있어서, 상기 불활성 가스는 질소인 로드록 내부의 오염물 제어 방법.
  5. 제 1 항에 있어서, 상기 가열 단계는 로드록 내부의 대기를 약 50℃로 가열하는 로드록 내부의 오염물 제어 방법.
  6. 제 2 항에 있어서,
    복수의 로드록이 제공되어 있으며,
    퍼지 가스를 상기 로드록에 선택적으로 공급하는 단계, 및
    상기 퍼지가스를 상기 로드록으로부터 선택적으로 펌핑하는 단계를 더 포함하는 로드록 내부의 오염물 제어 방법.
  7. 제 6 항에 있어서,
    선택된 로드록으로의 퍼지가스 공급 및 펌핑을 차단하는 단계, 및
    상기 선택된 로드록의 대기를 배기하는 단계를 더 포함하는 로드록 내부의 오염물 제어 방법.
  8. 제 1 항에 있어서, 상기 가열은 상기 로드록 내부의 부식 반응을 방해하는 로드록 내부의 오염물 제어 방법.
  9. 제 1 항에 있어서, 상기 가열은 복수의 가열 영역에 독립적으로 가해지는 로드록 내부의 오염물 제어 방법.
  10. 제 1 항에 있어서, 상기 가열은 상기 로드록 내부의 부식 입자의 형성을 방해하는 로드록 내부의 오염물 제어 방법.
  11. 제 1 항에 있어서, 상기 가열로인한 상기 로드록의 온도는 동력학적으로 제어되는 로드록 내부의 오염물 제어 방법.
  12. 퍼지 가스를 제 1 로드록을 통해 유동시키는 동시에 제 1 로드록을 제 1 온도로 가열하는 단계와,
    상기 제 1 로드록을 퍼지 가스 공급원과 진공 펌프로부터 차단시킴으로써 상기 제 1 로드록으로의 퍼지 가스 유동을 정지하는 단계와,
    상기 제 1 로드록을 제 2 로드록으로부터 차단시키는 단계와,
    퍼지 가스를 제 2 로드록을 통해 유동시키는 동시에 제 2 로드록을 제 2 온도로 가열하는 단계, 및
    상기 제 1 압력과 제 2 압력이 동일할 때, 상기 제 1 로드록을 상기 진공 펌프 및 퍼지 가스 공급원에 연결하는 단계를 포함하는 한 쌍의 로드록 내부의 오염물 제어 방법.
  13. 제 12 항에 있어서, 상기 퍼지 가스는 불활성 가스인 한 쌍의 로드록 내부의 오염물 제어 방법.
  14. 제 13 항에 있어서, 상기 불활성 가스는 질소인 한 쌍의 로드록 내부의 오염물 제어 방법.
  15. 제 12 항에 있어서, 상기 가열 단계는 각각의 로드록 내부의 대기를 약 50℃로 가열하는 한 쌍의 로드록 내부의 오염물 제어 방법.
  16. 제 12 항에 있어서,
    선택된 로드록으로의 퍼지가스 공급 및 펌핑을 차단하는 단계, 및
    상기 선택된 로드록의 대기를 배기하는 단계를 더 포함하는 한 쌍의 로드록 내부의 오염물 제어 방법.
  17. 제 12 항에 있어서, 상기 가열은 상기 로드록 내부의 부식 반응을 방해하는 한 쌍의 로드록 내부의 오염물 제어 방법.
  18. 제 12 항에 있어서, 상기 가열은 상기 로드록 내부의 부식 반응을 방해하는 한 쌍의 로드록 내부의 오염물 제어 방법.
  19. 제 12 항에 있어서, 상기 가열은 복수의 가열 영역에 독립적으로 가해지는 한 쌍의 로드록 내부의 오염물 제어 방법.
  20. 제 12 항에 있어서, 상기 가열로 인한 상기 로드록의 온도는 동력학적으로 제어되는 한 쌍의 로드록 내부의 오염물 제어 방법.
  21. 로드록에 부착된 히터, 및
    상기 로드록에 연결되는 펌프를 포함하는 로드록 내부의 오염물 제어 장치.
  22. 제 21 항에 있어서,
    상기 로드록에 연결된 퍼지 가스 공급원을 더 포함하는 로드록 내부의 오염물 제어 장치.
  23. 제 22 항에 있어서,
    상기 퍼지 가스 공급원과 상기 로드록 사이의 제 1 공급원 차단밸브, 및
    상기 펌프와 상기 로드록 사이의 제 1 펌프 차단 밸브를 더 포함하는 로드록 내부의 오염물 제어 장치.
  24. 제 21 항에 있어서, 상기 히터는 히터 제어기 및 하나 이상의 히터 소자를 포함하는 로드록 내부의 오염물 제어 장치.
  25. 제 24 항에 있어서, 상기 히터 제어기는 상기 하나 이상의 히터 소자를 동력학적으로 제어하는 로드록 내부의 오염물 제어 장치.
  26. 제 25 항에 있어서, 상기 하나 이상의 히터 소자는 저항식 히터인 로드록 내부의 오염물 제어 장치.
  27. 제 26 항에 있어서, 상기 히터 제어기는 온도 센서를 더 포함하는 로드록 내부의 오염물 제어 장치.
  28. 제 22 항에 있어서, 제 2 공급원 차단 밸브를 통해 상기 퍼지 가스 공급원에 연결되고 제 2 펌프 차단 밸브를 통해 상기 펌프에 연결되는 제 2 로드록을 더 포함하는 로드록 내부의 오염물 제어 장치.
  29. 제 28 항에 있어서, 각각의 제 1 및 제 2 공급원 차단 밸브와 상기 가스 공급원 사이에 위치되는 주 공급원 밸브를 더 포함하는 로드록 내부의 오염물 제어 장치.
KR1020027012793A 2000-03-29 2001-03-29 반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염감소 방법 및 장치 KR20030003703A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/539,158 2000-03-29
US09/539,158 US6323463B1 (en) 2000-03-29 2000-03-29 Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system

Publications (1)

Publication Number Publication Date
KR20030003703A true KR20030003703A (ko) 2003-01-10

Family

ID=24150037

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027012793A KR20030003703A (ko) 2000-03-29 2001-03-29 반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염감소 방법 및 장치

Country Status (5)

Country Link
US (2) US6323463B1 (ko)
EP (1) EP1268875A2 (ko)
JP (1) JP2003529212A (ko)
KR (1) KR20030003703A (ko)
WO (1) WO2001073157A2 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002355742A1 (en) * 2001-08-01 2003-02-17 The Salk Institute For Biological Studies Crfr1 selective ligands
JP2003148872A (ja) * 2001-08-28 2003-05-21 Sankyo Seiki Mfg Co Ltd オーブンシステム
US6805752B2 (en) * 2001-10-10 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for reducing acidic contamination on a process wafer following an etching process
KR100470998B1 (ko) * 2002-10-22 2005-03-10 삼성전자주식회사 반도체소자 제조설비의 로드락챔버에서 진공형성방법
JP4754196B2 (ja) * 2003-08-25 2011-08-24 東京エレクトロン株式会社 減圧処理室内の部材清浄化方法および基板処理装置
EP1528430A1 (en) * 2003-10-30 2005-05-04 ASML Netherlands B.V. A device manufacturing method, as well as a lithographic apparatus
US20050102851A1 (en) 2003-11-15 2005-05-19 Tianqing He Device and methods for rapid drying of porous materials
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber
US7403704B2 (en) * 2004-08-06 2008-07-22 Terumo Cardiovascular Systems Corporation Dual heating device and method
US7756599B2 (en) * 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
KR100861782B1 (ko) 2007-02-28 2008-10-08 세메스 주식회사 로드락 챔버 및 그 챔버에서의 벤트 방법
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8272825B2 (en) * 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US7640911B2 (en) * 2007-08-28 2010-01-05 Pien Pao C Two-stroke, homogeneous charge, spark-ignition engine
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US8408013B2 (en) 2010-06-30 2013-04-02 Instrotek, Inc. Lightweight portable moisture traps for use with vacuum pumps
US8051827B1 (en) 2010-11-19 2011-11-08 Pao Chi Pien Applying the law of conservation of energy to the analysis and design of internal combustion engines
JP5537492B2 (ja) * 2011-05-12 2014-07-02 富士重工業株式会社 環境認識装置
US9111971B2 (en) * 2012-07-30 2015-08-18 Applied Materials Israel, Ltd. System and method for temperature control of a semiconductor wafer
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
US11183404B2 (en) * 2018-10-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Diffuser and semiconductor processing system using same
US20200216951A1 (en) 2019-01-04 2020-07-09 Axcelis Technologies, Inc. Reduction of condensed gases on chamber walls via purge gas dilution and evacuation for semiconductor processing equipment
WO2021071767A1 (en) * 2019-10-08 2021-04-15 Lam Research Corporation Autoclean for load locks in substrate processing systems

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000682A (en) * 1990-01-22 1991-03-19 Semitherm Vertical thermal processor for semiconductor wafers
US5314541A (en) * 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5578129A (en) 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
DE69420474T2 (de) * 1993-06-30 2000-05-18 Applied Materials Inc Verfahren zum Spülen und Auspumpen einer Vakuumkammer bis Ultra-Hoch-Vakuum
KR100218269B1 (ko) 1996-05-30 1999-09-01 윤종용 건식 에칭기의 잔류 가스 제거 장치 및 방법
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JPH113861A (ja) * 1997-06-12 1999-01-06 Sony Corp 半導体装置の製造方法及びその装置
JPH11251397A (ja) 1998-02-27 1999-09-17 Tokyo Electron Ltd 被処理体の搬出方法及び処理装置
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers

Also Published As

Publication number Publication date
WO2001073157A2 (en) 2001-10-04
WO2001073157A9 (en) 2002-10-10
JP2003529212A (ja) 2003-09-30
WO2001073157A3 (en) 2002-04-04
EP1268875A2 (en) 2003-01-02
US6410889B2 (en) 2002-06-25
US20020008099A1 (en) 2002-01-24
US6323463B1 (en) 2001-11-27

Similar Documents

Publication Publication Date Title
KR20030003703A (ko) 반도체 웨이퍼 처리 시스템의 웨이퍼 로드록 내부의 오염감소 방법 및 장치
US7256370B2 (en) Vacuum thermal annealer
TWI396946B (zh) 薄膜沉積系統之清潔方法、薄膜沉積系統及其程式
US20040105738A1 (en) Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
US20090250008A1 (en) Gas treatment apparatus
EP0636704A1 (en) Silicon nitride deposition
KR20060093057A (ko) 종형 뱃치 처리 장치
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
US20070148606A1 (en) Vertical heat treatment device and method controlling the same
WO2006043509A1 (ja) 縦型熱処理装置及びその運用方法
US20110114298A1 (en) Method of lowering temperature of substrate table, computer-readable storage medium, and substrate processing system
JP3258885B2 (ja) 成膜処理装置
JP5383979B2 (ja) 処理システム
US7723649B2 (en) Vacuum thermal annealer
US20090229634A1 (en) Substrate processing apparatus
JP2007335500A (ja) 基板処理装置の温度制御方法
JPH09310173A (ja) スパッタリング後の基板の取り扱い方法及びスパッタリング装置
JP2003037107A (ja) 処理装置及び処理方法
JP2003017478A (ja) 真空処理装置および真空処理方法
JP2001250780A (ja) 半導体製造装置におけるダミー基板の運用方法
JP2001004505A (ja) ゲートバルブ,それを備える試料処理装置及び試料処理方法
JP2003037147A (ja) 基板搬送装置及び熱処理方法
JP7352667B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2020213506A1 (ja) 基板処理装置、基板処理システム及び基板処理方法
JP6906559B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid