KR20000048649A - 포토레지스트 조성물에 사용되는 반사 방지 코팅 - Google Patents

포토레지스트 조성물에 사용되는 반사 방지 코팅 Download PDF

Info

Publication number
KR20000048649A
KR20000048649A KR1019990702592A KR19997002592A KR20000048649A KR 20000048649 A KR20000048649 A KR 20000048649A KR 1019990702592 A KR1019990702592 A KR 1019990702592A KR 19997002592 A KR19997002592 A KR 19997002592A KR 20000048649 A KR20000048649 A KR 20000048649A
Authority
KR
South Korea
Prior art keywords
alkyl
antireflective coating
coating composition
mol
polymer
Prior art date
Application number
KR1019990702592A
Other languages
English (en)
Other versions
KR100484046B1 (ko
Inventor
맥쿨로쉬이아인
담멜랄프에르
코소안토니제이
딩수지
더램다나엘
루핑훙
캉밍
칸나다인쉬엔
Original Assignee
데머 얀, 당코 제니아 떼.
클라리언트 인터내셔널 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 데머 얀, 당코 제니아 떼., 클라리언트 인터내셔널 리미티드 filed Critical 데머 얀, 당코 제니아 떼.
Publication of KR20000048649A publication Critical patent/KR20000048649A/ko
Application granted granted Critical
Publication of KR100484046B1 publication Critical patent/KR100484046B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03CPHOTOSENSITIVE MATERIALS FOR PHOTOGRAPHIC PURPOSES; PHOTOGRAPHIC PROCESSES, e.g. CINE, X-RAY, COLOUR, STEREO-PHOTOGRAPHIC PROCESSES; AUXILIARY PROCESSES IN PHOTOGRAPHY
    • G03C1/00Photosensitive materials
    • G03C1/76Photosensitive materials characterised by the base or auxiliary layers
    • G03C1/825Photosensitive materials characterised by the base or auxiliary layers characterised by antireflection means or visible-light filtering means, e.g. antihalation
    • G03C1/835Macromolecular substances therefor, e.g. mordants
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

본 발명은 신규한 반사 방지 코팅 용액 및 상기 용액을 사진 평판 인쇄에 사용하는 방법에 관한 것이다. 상기 반사 방지 코팅 조성물은 신규한 중합체 및 유기 용매 또는 유기 용매의 혼합물을 포함하는데, 여기서 신규한 중합체는 약 180 nm 내지 약 450 nm의 범위의 복사선을 흡수하는 염료를 함유하는 단위와 가교기를 함유하는 단위를 포함한다.

Description

포토레지스트 조성물에 사용되는 반사 방지 코팅{ANTIREFLECTIVE COATING FOR PHOTORESIST COMPOSITIONS}
포토레지스트 조성물은 컴퓨터 칩 및 집적 회로를 제조하는 것과 같은 초소형화된 전자 부품을 제조하는 미소 평판 인쇄법(microlithography process)에 사용한다. 일반적으로, 이 방법에서는, 먼저 포토레지스트 조성물로 이루어진 필름의 얇은 코팅을 기판 재료, 예를 들면 집적 회로를 제조하는 데 사용되는 실리콘 웨이퍼에 도포한다. 이어서, 코팅된 기판을 소성(燒成)시켜 포토레지스트 조성물 중에 존재하는 모든 용매를 증발시킴으로써, 상기 코팅을 기판 상에 고정시킨다. 이후, 소성되어 코팅된 기판 표면을 복사선에 결상(結像) 방식으로 노출시킨다.
이와 같이 복사선에 노출시키는 것은 코팅된 표면의 노출된 영역에서 화학적 변형을 일으킨다. 가시광선, 자외선(UV), 전자빔 및 X선 복사 에너지는 오늘날 미세 평판 인쇄법에서 통용되는 복사선 유형들이다. 상기와 같이, 결상 방식으로 노출시킨 후, 코팅된 기판을 현상제 용액으로 처리하여 복사선에 노출되거나 또는 노출되지 않은 포토레지스트 영역 중 어느 하나를 용해시켜 제거한다.
반도체 장치를 초소형화시키려는 추세에 따라, 이와 관련된 난점들을 해결하기 위해 복잡한 다단계 시스템의 사용 문제가 대두되게 되었다. 고흡수성 반사 방지 코팅을 사진 평판 인쇄법에 사용하는 것은 고반사성 기판으로부터 광선이 역반사됨으로써 야기되는 문제점들을 줄일 수 있는 보다 간단한 방법이다. 역반사에 의한 2가지 유해 효과로는 박막 간섭(thin film interference)과 반사 편향(reflective notching)이 있다. 박막 간섭으로 인해 임계 선폭(linewith) 치수가 변화하는데, 이는 레지스트 두께 변화에 따른 레지스트 필름내 전체 광도의 변경에 의해 일어난다. 선폭의 변경은 스윙 비(S: swing ratio)에 비례하므로, 보다 양호한 선폭 조절을 위해 최소화시켜야 한다. 스윙 비는 하기 수학식 1로 정의된다.
상기 식 중,
R1은 레지스트/공기 계면 또는 레지스트/톱 코트 계면에서의 반사율이고,
R2는 레지스트/기판 계면에서의 반사율이며,
α는 레지스트의 광학 흡광 계수이고,
D는 필름의 두께이다.
반사 방지 코팅은 포토레지스트를 노출시키는 데 사용되는 복사선을 흡수함으로써, R2값을 감소시켜 스윙 비를 감소시키는 기능을 한다. 반사 편향은 포토레지스트가 지형적인(topographical) 형상을 함유하는 기판 상에 패턴을 형성할 때 극심해지는데, 이것은 광선을 포토레지스트 필름을 통해 산란시켜 선폭을 변경시키고, 아주 심한 경우 레지스트를 완전히 소실한 부위들을 형성시킨다.
과거에는 염색된 포토레지스트를 사용하여 이러한 반사능 문제들을 해결해 왔다. 그러나, 염색된 레지스트는 기판으로부터의 반사를 감소시키기만 할 뿐, 그러한 반사를 완전히 제거하지 못한다는 것이 주지되어 있다. 또한, 염색된 레지스트는 포토레지스트의 평판 인쇄 성능을 감소시킬뿐만 아니라 염료를 승화시키고, 레지스트 필름 중의 염료와 혼화하지 않을 수 있다. 스윙비를 더 감소시키거나 또는 없애는 것이 요구되는 경우, 반사 방지 코팅을 포토레지스트로 코팅하기 전, 그리고 노출시키기 전에 도포한다. 레지스트를 결상 방식으로 노출시킨 다음, 현상한다. 이어서, 노출된 영역의 반사 방지 코팅을 전형적으로 산소 플라즈마 중에서 에칭(etching)시켜 레지스트 패턴을 기판에 전이시킨다. 반사 방지 필름의 에칭 속도는 에칭 공정 중 레지스트 필름을 지나치게 소실하지 않으면서 반사 방지 필름을 에칭시킬 수 있을 정도로 비교적 빨라야 한다.
광을 흡수하는 염료와 코팅 성질을 부여하는 유기 중합체를 함유하는 반사 방지 코팅이 알려져 있다. 그러나, 이러한 유형의 반사 방지 조성물은 가열 공정 중 염료를 승화시켜 포토레지스트 층 내로 확산시킬 수 있기 때문에, 바람직하지 못하다.
중합체 유기 반사 방지 코팅은 본 명세서에서 참고 인용한 EP 583,205호와 US 5,525,457호에 개시되어 있는 바와 같이 해당 기술 분야에 알려져 있다. 그러나, 이러한 반사 방지 필름은 유기 용매, 예를 들면 시클로헥산온 및 시클로펜탄온으로부터 주조된다. 유기 용매를 사용하여 작업하는 경우에 내재하는 위험들로 인하여 본 발명의 반사 방지 코팅 조성물을 개발하게 되었는데, 상기 반사 방지 코팅의 고체 성분은 독성의 위험이 더 낮은 용매 중에 용해 가능하며, 이 용매로부터 스핀(spin) 주조 가능하다. 특히, 독성이 낮은 것으로 반도체 산업 분야에 공지되어 있는 바람직한 용매로는 프로필렌 글리콜 모노메틸 에테르아세테이트(PGMEA), 프로필렌 글리콜 모노메틸에테르(PGME) 및 에틸 락테이트가 있다.
본 발명의 또 다른 실시태양에서는, 친전자성 치환체와 공단량체를 적절히 선택하므로써 본 발명의 중합체를 물로 주조할 수 있게 하였다. 수성 코팅은 바람직할 뿐 아니라 취급상의 용이함으로 인해 반도체 산업에 확실한 잇점을 제공한다.
사용되는 특정한 유형의 단량체와 함께 본 발명의 신규한 염료 작용기로 인해, 본 발명은 포토레지스트 기술 분야에서 매우 중요한 것이 되었다. 반사 방지 코팅은 우수한 코팅을 제공할 뿐 아니라, 이 반사 방지 코팅과 포토레지스트 필름 간에는 상호 혼합성(intermixing)이 존재하지 않는다. 또한, 본 발명은 레지스트로부터 기판으로의 양호한 상 전이를 가능케 하는 양호한 건조 에칭(dry etching) 성질과, 반사 편향 및 선폭 변경을 막을 수 있는 양호한 흡수 특성을 둘 다 가지고 있다.
본 발명은 신규한 반사 방지 코팅 조성물 및 반사성 기판과 포토레지스트 코팅 사이에 박막(thin layer)을 형성시키는 데 상기 조성물을 이용하는 방법에 관한 것이다. 이러한 조성물은 사진 평판 인쇄(photolithographic) 기술에 의한 반도체 장치의 제조에 매우 유용하다.
본 발명은 신규한 반사 방지 코팅 조성물 및 상기 조성물을 사진 평판 인쇄 법에 이용하는 방법에 관한 것이다. 반사 방지 코팅 조성물의 중합체는 염료 작용기를 지닌 하나 이상의 단위와 가교기를 지닌 하나 이상의 단위를 포함한다. 염료 작용기는 약 180 nm(나노미터) 내지 약 450 nm 범위의 복사선을 강하게 흡수하는 작용기이다. 사용할 수 있는 염료계 단량체 단위의 유형은 하기 화학식 1로 나타낸다.
상기 식 중,
R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이며,
X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이고,
n은 0∼2이며,
A는 전자 끄는 기로서, 바람직하게는 COR4, CN 또는 CNZ이고,
R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬, 알칼리 금속, 암모늄 또는 알킬 암모늄이며,
Y는 공역 부위, 예를 들면 N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
m은 1 ∼ 5이다.
상기 염료 단위에 대한 더욱 바람직한 구조는 다음과 같다.
상기 식 중,
R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이고,
X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이며,
n은 0∼2이고,
R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬, 알칼리 금속, 암모늄 또는 알킬 암모늄이며,
Y는 공역 부위, 예를 들면 N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
m은 1 ∼ 5이다.
가교기를 함유하는 단위는 하기 화학식 2로 나타낸다.
상기 식 중,
G는 가교 작용기를 함유하고,
R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이며, 여기서 가교기는 전형적으로 메틸올 아크릴아미드, 메타크릴아미드, 아크릴아미드, 에틸렌 말단기, 에폭시 수지 및 이소시아네이트이다.
반사 방지 코팅이 수용성인 또 다른 바람직한 실시태양에서, 공중합체 내에서 물에 대한 용해도를 촉진시키도록 중합체 중에 친수성 단량체 단위도 존재하는데, 이는 하기 화학식 3으로 표시될 수 있다.
상기 식 중,
R1내지 R3는 H, (C1-C10)알킬, (C1-C10)알콕시이고,
W는 친수성기이다.
친수성기인 W의 예는 O(CH2)2-O-(CH2)-OH, O(CH2)2-OH, (CH2)n-OH(식 중, n = 1∼4), COO(C1-C4)알킬, COOX, SO3X(식 중, X는 H, 알칼리 금속, 암모늄, 알킬 암모늄임), CONHCH2OH이다. 또한 중합체 내에서 사용할 수도 있는 기타 친수성 비닐 단량체는 말레인산 무수물, 푸마르산 무수물, 비닐 피리딘 및 비닐 피롤리돈을 들 수 있으나 이들에 한정되지 않는다.
또한, 본 발명은 기판에 상을 형성시키는 방법을 제공한다. 기판을 본 발명의 반사 방지 코팅의 필름으로 코팅한 후, 가열하여 모든 잔류 용매를 제거하여 코팅을 불용화시킨다. 이어서, 포토레지스트 용액으로부터 만든 필름을 반사 방지 코팅 상에 형성시키고, 더 가열하여 거의 모든 포토레지스트 용매를 제거한다. 포토레지스트 필름을 약 180 nm 내지 약 450 nm 범위의 자외선으로 마스크를 통해 결상 방식으로 노출시키고, 수성 알칼리 현상제로 처리하여 포토레지스트 패턴을 형성시킨다. 현상시키는 단계 전후에 기판을 가열하여 양질의 이미지를 형성시킬 수 있다. 이어서, 노출된 반사 방지 필름을 에칭 마스크로 작용하는 포토레지스트 패턴을 사용하여 보통 산소 플라스마 중에서 건조 에칭시킬 수 있다.
본 발명의 반사 방지 조성물은 염료 작용기를 함유하는 하나 이상의 단량체와 가교기를 함유하는 하나 이상의 단량체를 반응시킴으로써 얻어지는 중합체를 포함하는데, 이와 같이 얻어진 상기 중합체는 파장 범위가 180 nm 내지 약 450 nm인 자외선을 강하게 흡수한다. 또한, 본 발명은 상기 반사 방지 조성물을 기판 상에 코팅하여 소성시킨 다음, 포토레지스트 필름을 상기 반사 방지 코팅 상에 도포하여 결상시킨 후, 반사 방지 코팅을 에칭하는 단계들로 이루어진 방법을 제공한다.
본 발명의 중합체는 염료 작용기를 함유하는 하나 이상의 비닐 단량체와 가교기를 함유하는 하나 이상의 비닐 단량체를 반응시킴으로써 얻어진다. 염료기는 약 180 nm 내지 약 450 nm 범위의 복사선을 강하게 흡수하는 기이다. 사용할 수 있는 염료계 단량체 단위의 바람직한 유형은 하기 화학식 1로 나타내어진다.
화학식 1
상기 식 중,
R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이며,
X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이고,
n은 0∼2이며,
A는 전자 끄는 기로서, 바람직하게는 COR4, CN 또는 CNZ이고,
R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬이며,
Y는 공역 부위, 예를 들면 N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
m은 1 ∼ 5이다.
상기 염료 단위에 대한 더욱 바람직한 구조는 다음과 같다.
상기 식 중,
R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이며,
X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이고,
n은 0∼2이며,
R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬이고,
Y는 공역 부위, 예를 들면 N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이며,
m은 1 ∼ 5이다.
반사 방지 중합체 내에 존재하는 가교기로는 전형적으로 메틸올 아크릴아미드, 메타크릴아미드, 에틸렌 말단기, 에폭시, 이소시아네이트가 있지만, 메틸올 아크릴아미드기와 에폭시기가 바람직하다. 반사 방지 필름 내에 존재하는 가교기는 본 발명에 필수적인 것인 데, 그 이유는 상기 필름이 포토레지스트의 용매 및 알칼리 수용액인 레지스트 현상제 모두에 불용성이 되어야 하기 때문이다. 코팅 공정을 수행한 후에 반사 방지 필름을 가열하여 중합체를 가교 반응시킴으로써, 상기 코팅을 수성 현상제 중에서 불용성으로 만든다. 그러나, 가교 작용기는 반사 방지 중합체의 용액 중에서 안정해야 하고, 약 70℃ 이상의 온도에서 가열할 때 가교 반응을 해야 한다. 가교 단량체 단위는 하기 화학식 2로 나타낸다.
화학식 2
상기 식 중,
G는 가교 작용기를 함유하며,
R1내지 R3은 H, (C1-C10)알킬 또는 (C1-C10)알콕시이다.
가교 작용기의 구체적인 예로는 다음과 같은 화합물 (1)∼(5)를 들 수 있지만, 이에 국한되는 것은 아니다.
(1) (2) (3) (4) (5)
상기 식 중, 화합물(1)은 카보디이미드이고, 화합물(2)은 이소시아네이트 또는 이것의 보호된 등가물이며, 화합물(3)은 글리시딜 아크릴레이트 또는 글리시딜 메타크릴레이트이고, 화합물(4)는 알킬올 아크릴아미드 또는 알킬올 메타크릴아미드이며, 화합물(5)는 메틸 아크릴아미도글리콜레이트 메틸 에테르이고, 여기서 R은 (C1-C10)알킬이며, R'는 H 또는 (C1-C10)알킬이다.
또 다른 바람직한 실시태양에서, 염료 작용기를 함유하는 단위 및 가교 작용기를 함유하는 단위와 함께, 공중합체 내에서 물에 대한 용해도를 촉진시키는 친수성 단량체 단위가 중합체 중에 존재하는데, 이 친수성 단량체는 하기 화학식 3으로 나타낼 수 있다.
화학식 3
상기 식 중,
R1내지 R3는 각각 H, (C1-C10)알킬, (C1-C10)알콕시이고,
W는 친수성기이다.
친수성기인 W의 예로는 O(CH2)2-O-(CH2)-OH, O(CH2)2-OH, (CH2)n-OH(식 중, n = 1∼4), COO(C1-C4)알킬, COOX, SO3X(식 중, X는 H, 알칼리 금속, 암모늄, 알킬 암모늄임), CONHCH2OH이다. 또한 상기 중합체 내에 사용할 수 있는 기타 친수성 비닐 단량체로는 말레인산 무수물, 푸마르산 무수물, 비닐 피리딘 및 비닐 피롤리돈을 들 수 있다.
수용성 반사 방지 중합체는 상기 화학식 1로 표시되는 하나 이상의 염료 작용기를 함유하는 임의의 수의 비닐 단량체와 하나 이상의 가교 작용기(상기 화학식 2)를 함유하는 임의의 수의 비닐 단량체를 반응시킴으로써 합성할 수 있다. 다양한 염료 단량체, 다양한 가교 단량체 및 다양한 친수성 단량체의 혼합물을 중합하여 소정의 평판 인쇄 성질 또는 물리적 성질을 지닌 반사 방지 코팅을 형성할 수 있다. 반사 방지 코팅의 기능에 크게 영향을 미치지 않거나 또는 반사 방지 코팅의 물에 대한 용해도를 크게 감소시키지 않는 기타 불포화 단량체를 중합 혼합물에 첨가할 수 있다. 이러한 불포화 단량체의 예로는 말레인산 무수물, 비닐 아크릴레이트, 비닐 에테르, 비닐 아크릴아미드, 비닐 카르복실산, 비닐 설폰산 및 N-(3-히드록시페닐메타크릴아미드)가 있다. 대안적으로, 염료는 공중합체에 작용기를 형성하여 본 발명의 중합체를 생성할 수 있다.
유기 용매 중에 용해되는 반사 방지 중합체는 상기 화학식 1로 표시되는 하나 이상의 염료 작용기를 함유하는 임의의 수의 비닐 단량체와 상기 화학식 2로 표시되는 하나 이상의 가교기를 함유하는 임의의 수의 비닐 단량체를 반응시킴으로써 합성할 수 있다. 다양한 치환체를 함유하는 화학식 1로 표시되는 다양한 염료 단량체와 화학식 2로 표시되는 다양한 가교 단량체의 혼합물을 중합하여 소정의 평판 인쇄 성질 또는 물리적 성질을 지닌 반사 방지 코팅을 형성할 수 있다. 각 단량체 상에 존재하는 치환체는 이러한 단량체로부터 제조되는 중합체가 유기 용매 중에 용해될 수 있도록 선택할 수 있다. 반사 방지 코팅의 기능에 크게 영향을 미치지 않는 기타 불포화된 단량체를 중합 혼합물에 첨가할 수 있다. 이러한 불포화된 단량체의 예로는 말레인산 무수물, 비닐 아크릴레이트, 비닐 에테르, 비닐 아크릴아미드, 비닐 페놀 수지, 비닐 카르복실산, 비닐 설폰산 및 N-(3-히드록시페닐메타크릴아미드)가 있다. 대안적으로, 염료는 공중합체에 작용기를 형성하여 본 발명의 중합체를 생성할 수 있다.
중합에 사용되는 방법은 비닐 중합체를 중합시키는 방법, 예를 들면 이온 중합 방법 또는 자유 라디칼 중합 방법 등의 당해 기술 분야에 알려진 방법 중 어느 하나일 수 있다. 형성된 중합체 구조는 교번(alternate) 공중합체, 블록 공중합체 또는 랜덤 공중합체로 구성될 수 있다. 중합체의 중량 평균 분자량은 약 2,500 내지 약 1,000,000이다.
상기 단량체들을 유기 용매 중에서 중합할 수 있다. 이 경우 용매는 반사 방지 코팅의 주조 용매와 동일한 것으로서, PGMEA, PGME 또는 에틸 락테이트가 바람직하다.
최종 중합체 중, 염료 함유 단량체의 몰%는 약 5 몰% 내지 약 95 몰%이고, 가교 단량체의 몰%는 약 1 몰% 내지 약 50 몰%일 수 있다. 수성 반사 방지 코 팅은 염료 단위 약 5 몰% 내지 약 95 몰%, 가교 단위 약 1 몰% 내지 약 50 몰% 및 친수성 단위 약 1 몰% 내지 약 50 몰%를 함유할 수 있다. 또한, 상기 중합체는 중합체 제조시 합성 단계로부터 얻어지는 미반응 전구체 및/또는 미반응 단량체를 포함할 수도 있다.
반사 방지 코팅 조성물은 본 발명의 중합체와 적당한 용매 또는 용매 혼합물을 포함한다. 기타 성분으로는 코팅의 성능을 향상시키기 위한 성분, 예를 들면 단량체 가교제, 단량체 염료, 저급 알콜, 가교 반응을 촉진시키기 위한 첨가제, 산 발생제, 열활성화 산 발생제, 표면 균전제, 접착 촉진제, 소포제 등을 첨가할 수 있다. 가교제의 예로는 멜라민, 히드록시 알킬 아미드, 에폭시 수지, 에폭시 아민 수지, 보호된 이소시아네이트 및 디비닐 단량체를 들 수 있지만, 이에 국한되는 것은 아니다. 열 활성화 산 발생제로는 대개 다가히드록시 페놀 화합물의 2,1,4 디아조나프토퀴논 에스테르를 들 수 있으나, 이에 국한되는 것은 아니다. 또한, 단량체 염료를 반사 방지 코팅에 첨가할 수도 있는 데, 그 예로는 수단(sudan) 오렌지, 2,4-디니트로나프톨, 쿠르쿠민, 쿠마린 등을 들 수 있다.
반사 방지 코팅의 흡수능은 염료 작용기 상에 존재하는 치환체를 적합하게 선택함으로써 특정한 파장 또는 파장 범위에 대하여 최적화될 수 있다. 일반적으로, 전자를 당기거나 전자를 공여하는 치환체를 각각 사용하므로써 흡수 파장을 보다 긴 파장 또는 보다 짧은 파장으로 이동시킨다. 또한, 매우 바람직한 용매 중에서 반사 방지 중합체의 용해도는 단량체 상에 존재하는 치환체를 적절하게 선택함으로써 조정할 수 있다.
반사 방지 코팅 조성물의 중합체는 용액의 총 중량을 기준으로 하여 약 1 중량% 내지 약 30 중량% 범위로 존재한다. 사용된 정확한 중량은 중합체의 분자량과 원하는 코팅의 필름 두께에 따라 좌우된다. 단독으로 또는 혼합물 형태로사용할 수 있는 전형적인 용매로는 프로필렌 글리콜 모노메틸에테르(PGME), 프로필렌 글리콜 모노메틸 에테르아세테이트(PGMEA), 에틸 락테이트, 물, 시클로펜탄온, 시클로헥산온 및 감마 부티로락톤을 사용하나, PGME, PGMEA 및 에틸 락테이트 또는 이들의 혼합물을 사용하는 것이 바람직하다. 일반적으로, 낮은 독성, 양호한 코팅 성질 및 양호한 용해도 성질을 지닌 용매가 바람직하다.
반사 방지 필름을 기판 상에 코팅하고, 이어서 건조 에칭 처리하기 때문에, 상기 반사 방지 필름은 반도체 장치의 성질에 악영향을 미치지 않도록 충분히 낮은 금속 이온 농도와 순도를 가져야 한다. 중합체 용액을 이온 교환 칼럼 또는 음이온 교환 컬럼과 양이온 교환 컬럼의 조합체에 통과시키는 공정, 여과 공정 및 추출 공정 등을 통해 금속 이온의 농도를 감소시키고, 입자를 감소시킬 수 있다. 중합체 중의 금속 이온 함량은 각각 50 ppb 이하인 것이 바람직하며, 10 ppb 이하인 것이 더욱 바람직하고, 1 ppb 이하인 것이 더더욱 바람직하다.
반사 방지 코팅 조성물을 당업자에게 알려진 기법, 예를 들면 침지법, 스핀 코팅법 또는 분무법을 사용하여 기판 상에 코팅한다. 반사 방지 코팅의 필름 두께는 약 0.1 미크론 내지 약 1 미크론이다. 또한, 이 코팅은 필름이 용해되지 않도록 하기 위해 고온 플레이트 또는 대류 오븐 상에서 가열하여 모든 잔류 용매를 제거하고, 가교 반응을 적절한 정도로 유도한다.
반사 방지 필름 상에 코팅된 포토레지스트는 반도체 산업에 사용되는 유형 중에 어느 하나일 수 있는데, 단 포토레지스트 중의 광활성 화합물의 감광성이 반사 방지 코팅의 감광성과 대등해야 한다.
포토레지스트 조성물에는 두가지 유형, 즉 양화 작용성 및 음화 작용성이 있다. 음화 작용성 포토레지스트 조성물을 결상 방식으로 복사선에 노출시키는 경우, 복사선에 노출된 레지스트 조성물의 영역은 현상제 용액에 잘 용해되지 않는 반면(예, 가교 반응이 일어남), 포토레지스트 코팅의 노출되지 않은 영역은 상기 용액에 비교적 잘 용해된다. 따라서, 노출된 음화 작용성 레지스트를 현상제로 처리하면, 포토레지스트 코팅의 노출되지 않은 영역이 제거되어 음화 작용성 상이 코팅 내에 형성된다. 이로 인해 포토레지스트 조성물이 침착되었던 중첩 기판 표면의 소정 부분이 드러난다.
이에 반해, 양화 작용성 포토레지스트 조성물을 결상 방식으로 복사선에 노출시키는 경우, 복사선에 노출된 레지스트 조성물의 영역은 현상제 용액에 더 잘 용해되는 반면(예, 전위 반응이 일어남), 포토레지스트 코팅의 노출되지 않은 영역은 상기 현상제 용액에 비교적 잘 용해되지 않는다. 따라서, 노출된 양화 작용성 포토레지스트를 현상제로 처리하면, 코팅의 노출된 영역이 제거되어 양화 작용성 상이 포토레지스트 코팅 내에 형성된다. 다시 말하면, 중첩 기판 표면의 소정 부분이 드러난다.
현재, 양화 작용성 포토레지스트 조성물은 음화 작용성 포토레지스트 조성물에 비해 선호된다. 왜냐하면 일반적으로 양화 작용성 포토레지스트 조성물이 해상능과 패턴 전이 특성이 더 좋기 때문이다. 포토레지스트 해상능이란 노출후, 그리고 현상후에 레지스트 조성물이 높은 이미지 연부 정확도로 포토마스크로부터 기판에 전달할 수 있는 최소의 상으로 정의된다. 오늘날 많은 제조 용도에서, 약 1 ㎛ 미만의 레지스트 해상도가 요구된다. 또한, 현상된 포토레지스트 월 프로파일(wall profile)은 기판에 대해 거의 수직인 것이 대체로 바람직하다. 포토레지스트 코팅의 현상된 영역과 현상되지 않은 영역간의 이같은 경계(demarcation)는 기판에 대한 마스크 이미지의 정확한 패턴 전달로 해석된다. 이러한 현상은 소형화 추세로 인해 디바이스상의 임계 크기를 감소시킴에 따라 점점 더 중요해지고 있다.
노볼락 수지 및 퀴논-디아지드 화합물을 광활성 화합물로서 포함하는 양화 작용성 포토레지스트는 당해 기술 분야에 공지되어 있다. 노볼락 수지는 전형적으로 포름알데히드와 1 종 이상의 다치환 페놀을 산 촉매(예, 옥살산)의 존재하에서 축합시키므로써 생성된다. 광활성 화합물은 일반적으로 다가히드록시페놀성 화합물을 나프토퀴논 디아지드 산 또는 그 유도체와 반응시키므로써 제조된다. 전형적으로, 이러한 유형의 레지스트의 감광성은 약 350 nm 내지 약 440 nm의 범위이다.
또한, 단파장, 즉 약 180 nm 내지 약 300 nm에 대해 감광성이 있는 포토레지스트도 사용할 수 있다. 보통, 이러한 레지스트는 폴리히드록시스티렌 또는 치환된 폴리히드록시스티렌 유도체, 광활성 화합물 및 임의의 용해 억제제를 포함한다. 본 발명에서 참고 인용하고 있는 참고 문헌 US 4,491,628호, US 5,069,997호 및 US 5,350,660호에는 사용 가능한 포토레지스트의 유형을 예시하고 있다.
본 발명의 방법은 기판을 신규한 반사 방지 코팅으로 코팅하는 단계와 포토레지스트 코팅 용액 중에 또는 수성 알칼리 현상제 중에 용해되지 않도록 하기 위해 상기 코팅을 고온 플레이트 또는 대류 오븐 상에서 충분한 시간 동안 충분히 높은 온도로 가열하여 코팅 용매를 제거하고 중합체를 충분한 정도로 가교 반응시키는 단계를 더 포함한다. 바람직한 온도 범위는 약 70℃ 내지 약 250℃이다. 온도가 70℃ 이하인 경우, 불충분한 용매의 제거 또는 불충분한 정도의 가교 반응이 일어나며, 온도가 250℃ 이상인 경우, 중합체는 화학적으로 불안정하게 될 수 있다. 이어서, 포토레지스트 필름을 반사 방지 코팅 상에 코팅하고, 소성시켜 포토레지스트 용매를 거의 제거한다. 포토레지스트를 결상 방식으로 노출시키고, 수성 현상제 중에 현상시켜 처리된 레지스트를 제거한다. 현상 전, 그리고 노출 후, 상기 공정에 임의의 가열 단계를 포함시킬 수 있다. 포토레지스트를 코팅하고 결상시키는 공정은 당업자에게 잘 알려져 있으므로, 사용된 구체적인 레지스트 유형에 따라 최적의 공정을 선택할 수 있다. 이어서, 패턴 형성된 기판을 적합한 건조 에칭 챔버 내에서 건조 에칭시켜 반사 방지 필름의 노출된 부분을 제거할 수 있는데, 남겨진 포토레지스트는 에칭 마스크로서 작용한다.
중간 층을 반사 방지 코팅과 포토레지스트 사이에 배치하여 이들이 상호 혼합되는 것을 방지할 수 있는데, 상기 중간 층도 본 발명의 영역 내에 포함되는 것으로 간주할 수 있다. 이 중간 층은 용매로부터 주조된 불활성 중합체인데, 그 중합체의 예로는 폴리설폰과 폴리이미드가 있다.
이하, 구체적인 실시예들은 본 발명의 조성물을 제조하는 방법 및 본 발명의 조성물을 이용하는 방법을 상세하게 예시하고자 한 것이다. 그러나, 이러한 실시예들은 본 발명의 범위를 제한거나 한정하기 위한 것이 전혀 아니기 때문에, 본 발명을 실시하기 위해서 반드시 이용해야 하는 조건, 파라미터 또는 수치를 기재한 것으로 파악해서는 안된다.
중합체 1 - 실시예 1. 에틸 4-아미노벤조에이트의 디아조늄염의 제조
에틸 4-아미노벤조에이트(50.57 g, 0.3 mol)를 1000 ml 들이 둥근 바닥 플라스크에 들어 있는 진한 염산 61 ml(0.75 mol)과 메탄올 600 ml 중에 용해시켰다. 그 후, 플라스크를 얼음 조각으로 채워진 빙조 중에 넣고, 용액의 온도가 3℃ 이하로 떨어질 때까지 냉각시켰다. 용액은 백색 현탁액이 되었다. 5℃ 이하의 온도에서 tert-부틸 니트리트 33.3 g(0.31 mol)을 첨가함으로써, 디아조화 반응을 수행하였다. 그 후, 디아조늄 용액을 빙수조에서 약 1시간 동안 교반하였다. 생성물은 메탄올 중에 황색 용액을 형성하였으며 분리하지 않고, 실시예 2의 시약으로 사용하였다.
중합체 1 - 실시예 2. 메타크릴레이트 단량체의 제조
2-(메타크릴로일옥시)에틸 아세토아세테이트(66.25 g, 0.3 mol) 및 트리에틸아민(76.66 g, 0.75 mol)을 메탄올(1500 ml)에 첨가하고, 그 용액을 교반한 후, 빙수조에서 5℃ 이하로 냉각시켰다. 그 후, 이 용액에, 실시예 1에서 형성된 냉각 디아조늄 염 용액을 서서히 첨가하였으며, 그 동안 온도는 5℃ 내지 10℃로 유지하였다. 온도를 실온으로 상승시키면서 반응 혼합물을 4 시간 동안 교반하여, 적색 현탁액의 생성물이 형성되었다. 그 후, 이것을 여과하고, 메탄올로 세척한 후, 진공중에서 건조시켜서 황색 생성물 89.7 g(77%)을 얻었다.
중합체 1 - 실시예 3. 공중합체의 제조
실시예 2에서 형성시킨 메타크릴레이트 단량체(21.47 g, 0.055 mol)을 감마 부티롤락톤 용매 125 ㎖ 중에 용해하였다. 그 용액을 교반하면서 65℃로 가온하였다. 완전히 용해되면, 용액을 밀봉된 고무 마개의 주입용 바늘을 통해 약 2 시간 동안 용액에 아르곤으로 격렬하게 발포시킴으로써 탈가스화시켰다. 그 후, 상기 용액에 N-(히드록시메틸)아크릴아미드(0.899 ml, 4.58 mmol)과 메틸 메타크릴레이트(3.47 ㎖, 32 mmol)를 마개를 통해 주입하고, 그 중합 반응 혼합물을 30 분 더 탈가스화시켰다. 그 후, 감마 부티로락톤(1.5 ㎖) 중의 AIBN(0.1843 g, 0.92 mmol, 총 단량체의 1 몰%) 용액으로부터 얻은 한 분획을 주입하고, 상기 용액을 30 분 더 탈가스화시켰다. 총, 2회의 분획을 5 시간의 간격으로 첨가하였다. 그 후, 유입용 바늘과 배출용 바늘을 모두 제거하고, 용액을 65℃에서 20 시간 동안 밀봉된 용기 내에서 교반하였다. 그 후, 이 용액을 에틸 아세테이트(500 ㎖)로 희석한 후, 5 배 과량의 2-프로판올내로 침전시켰다. 그 중합체는 황색 고체(23.25 g)를 생성하였으며, 그 후 이것을 여과 수집하고 건조시켰다. 수율은 92%이었다.
중합체 2 - 실시예 4. 4-아미노벤조산의 디아조늄염의 제조
4-아미노벤조산(13.85 g, 0.1 mol)을 300 ml 들이 둥근 바닥 플라스크에 들어 있는 진한 염산 20 ml(0.25 mol)과 메탄올 150 ml 중에 용해시켰다. 플라스크를 얼음 조각으로 채워진 빙조에 넣어, 용액의 온도가 3℃ 이하로 떨어질 때까지 냉각시켰다. 용액은 백색 현탁액이 되었다. tert-부틸 니트리트 11.82 g(0.11 mol)을 첨가함으로써, 용액을 디아조화 반응시켰다. 그 후, 디아조늄 용액을 약 1 시간 동안 빙수조에서 교반하였다. 생성물이 메탄올 중에 회백색 현탁액으로 형성되었으며 분리하지 않고 이를 실시예 5의 시약으로 사용하였다.
중합체 2 - 실시예 5. 메타크릴레이트 단량체의 제조
2-(메타크릴로일옥시)에틸 아세토아세테이트(22.08 g, 0.1 mol) 및 트리에틸아민(25.55 g, 0.25 mol)을 메탄올(200 ml)에 첨가하고 그 용액을 교반한 후, 빙수조에서 5℃ 이하로 냉각시켰다. 그 후, 이 용액에, 실시예 4에서 형성된 냉각 디아조늄 염 용액을 서서히 첨가하였으며, 그 동안 온도는 10℃ 내지 20℃로 유지하였다. 실온으로 상승시키면서 반응 혼합물을 2.5 시간 동안 교반하여, 황색 현탁액의 생성물을 형성하였다. 그 후, 이것을 증류수 2000 ㎖에 붓고, 여과한 후, 진공 중에서 건조시켜서 황색 고체 생성물을 얻었다.
중합체 2 - 실시예 6. 공중합체의 제조
실시예 5에서 형성시킨 메타크릴레이트 단량체(5.25 g, 0.02 mol)을 감마 부티롤락톤 용매 35 ㎖ 중에 용해하였다. 그 용액을 교반하면서 65℃로 가온하였다. 완전히 용해되면, 용액을 밀봉된 고무 마개의 주입용 바늘을 통해 약 2 시간 동안 용액에 아르곤을 격렬하게 발포시킴으로써 탈가스화시켰다. 그 후, 상기 용액에 N-(히드록시메틸)아크릴아미드(1.53 g, 0.012 mol)과 메틸 메타크릴레이트(1.2 g, 0.12 mol)를 마개를 통해 주입하고, 그 중합 반응 혼합물을 30 분 더 탈가스화시켰다. 그 후, 감마 부티로락톤(3.5 ㎖) 중의 AIBN(0.6138 g, 3.66 mmol, 총 단량체의 1 몰%)의 용액으로부터 얻은 한 분획을 주입하고, 30 분 더 탈가스화시켰다. 총 2회의 분획을 5 시간 간격으로 첨가하였다. 그 후, 유입용 바늘과 배출용 바늘을 모두 제거하고, 용액을 65℃에서 20 시간 동안 밀봉된 용기 내에서 교반하였다. 그 후, 이 용액을 에틸 아세테이트(500 ㎖)로 희석한 후, 5 배 과량의 2-프로판올 중에 넣었다. 그 중합체는 황색 고체를 생성하였으며, 그 후 이것을 여과 수집하고 건조시켰다.
중합체 3 - 실시예 7. 공중합체의 제조
실시예 2에서 형성시킨 메타크릴레이트 단량체(21.47 g, 55 mmol)을 감마 부티롤락톤 용매 125 ㎖ 중에 용해하였다. 그 용액을 교반하면서 65℃로 가온하였다. 완전히 용해되면, 밀봉된 고무 마개의 주입용 바늘을 통해 약 2 시간 동안 용액에 아르곤을 격렬하게 발포시킴으로써 탈가스화시켰다. 그 후, 상기 용액에 메틸 2-아크릴아미도-2-메톡시아세테이트(1.94 g, 11 mmol)과 메틸 메타크릴레이트(4.45 g, 44 mmol)를 마개를 통해 주입하고, 그 중합 반응 혼합물을 30 분 더 탈가스화시켰다. 그 후, 감마 부티로락톤(1.5 ㎖) 중의 AIBN(0.1843 g, 0.92 mmol, 총 단량체의 1 몰%)의 용액으로부터 얻은 한 분획을 주입하고, 30 분 더 탈가스화시켰다. 총 2회의 분획을 5 시간 간격으로 첨가하였다. 그 후, 유입용 바늘과 배출용 바늘을 모두 제거하고, 용액을 65℃에서 20 시간 동안 밀봉된 용기 내에서 교반하였다. 그 후, 이 용액을 에틸 아세테이트(500 ㎖)로 희석한 후, 5 배 과량의 2-프로판올에 넣었다. 그 중합체는 황색 고체(20.82 g)를 생성하였으며, 그 후 이것을 여과 수집하고 건조시켰다. 수율은 75%이었다.
실시예 8
PGMEA 46.5 g 중에 실시예 3의 중합체(중합체 1) 3.5 g을 용해시킨 용액에 2,1,4-디아조나프토퀴논설폰산 에스테르 0.035 g을 첨가하였다. 그 용액을 0.2 ㎛ PTFE 필터로 여과하고, 4" 실리콘 웨이퍼 상에 스핀 코팅한 후, 170℃의 고온 플레이트 상에서 60초 동안 소성시켜 두께가 약 2000 Å이 되도록 하였다. 코팅된 웨이퍼를 PGMEA, 85/15 에틸 락테이트(EL)/n-부틸 아세테이트(n-BA) 용매 혼합물과 같은 다양한 레지스트 주조 용매 뿐 아니라 AZ(등록상표) 300 MIF 현상제(미국 뉴저지주 08876 소머빌 메이스터 애비뉴 70에 소재한 훽스트 셀라니스 코포레이션에서 시판함) 중에 함침시켰다. 함침 전과 함침 후의 필름 두께를 NANOSPCE-AFT를 사용하여 측정하였다. 중합체와 용매간의 내부층 혼합 정도는 하기 표 1에 수록된 중합체 필름 두께의 변화로 측정하였다.
용매 T1 T2 T3 T4
PGMEA 2030Å 2029Å 2027Å 2028Å
85/15 EL/n-BA 2024Å 2029Å 2021Å 2023Å
T1: 스핀 코팅 후의 중합체 필름 두께.
T2: 포토레지스트 용매 중에 30초 동안 함침시킨 후의 중합체 필름 두께.
T3: 90℃에서 90초 동안 연소성시킨 후의 중합체 필름 두께.
T4: AZ(등록상표) 300 MIF 현상제 중에 35 초동안 함침시킨 후의 중합체 필름 두께.
T1내지 T4으로 기술된 처리 조건은 양각 포토레지스트를 바닥 반사 방지 코팅에 피복하고 현상하는 종래의 과정과 유사하다. 종래의 포토레지스트 주조 용매 및 현상제에 대한 본 발명 중합체의 용해도는 무시할 수 있을 정도인 것이 확인되었다.
실시예 9
실시예 3의 중합체(중합체 1) 3.5 g을 PGMEA 46.5 g 중에 용해시킨 용액에 CYMEL(등록상표)303 0.52 g 및 CYCAT(등록상표)296-6 0.05 g(둘 모두 사이텍 인더스트리즈 인코오포레이티드에서 시판함)을 첨가하였다. 그 용액을 0.2 ㎛ PTFE 필터로 여과하고, 4" 실리콘 웨이퍼 상에 스핀 코팅하고, 170℃의 고온 플레이트 상에서 60 초 동안 소성시켰다. 코팅된 웨이퍼를 PGMEA 및 EL 중에 20 초동안, 그리고 AZ(등록상표) 300 MIF 현상제 중에 40 초동안 함침시켰다. 스핀 건조시킨 후, 필름 두께 변화가 전혀 관찰되지 않았다.
실시예 10
실시예 8과 9에서 제제화된 중합체 용액을 몇개의 4" 실리콘 웨이퍼 상에 코팅하고, 170℃의 고온 플레이트 상에서 60초 동안 소성시켜 두께가 약 2000 Å이 되도록 하였다. 그 후, 그 웨이퍼를 AZ(등록상표) 7805(미국 뉴저지주 08876 서머빌 메이스터 애비뉴 70에 소재한 훽스트 셀라니즈 코포레이션에서 시판함)로 코팅하고, 90℃의 온도로 90초 동안 소성하여 0.5 ㎛(마이크로미터)의 두께가 되도록 하였다. 5000 Å AZ(등록상표) 7805 포토레지스트로 코팅하고 고온 플레이트 상에서 90℃로 60초 동안 소성한 하나의 4" 실리콘 웨이퍼를 참고용으로 사용하였다. 이들 웨이퍼를 NIKON(등록상표) 0.54 NA i-라인 스텝퍼에 의해 결상 방식으로 노출시켰는데, 상기 스텝퍼는 레티클(reticle)을 사용하는데 상기 레티클은 라인 크기가 0.2 내지 1.0 ㎛이고, 스텝퍼로 하여금 조사량 증분 2 mJ/cm2및 촛점 증분 0.2 ㎛로 15 ×21 촛점/노출 매트릭스를 인쇄하도록 지시하는 프로그램을 포함한다. 노출된 웨이퍼를 110℃에서 60초 동안 소성시키고, AZ(등록상표) 300 MIF 현상제로 35초 동안 퍼들(puddle) 현상시켰다. 이들 웨이퍼상에 나타나는 레지스트 패턴을 Hitachi S-4000 장 방출 주사 전자 현미경으로 관찰하였다. 표 2는 본 발명의 바닥 반사 방지 코팅 위의 AZ(등록상표) 7805와 맨 실리콘 웨이퍼 위의 AZ(등록상표) 7805를 비교한 것을 보여준다.
바닥 코팅 DTP(mJ/㎠) 해상능 정류파
실시예 8 210 0.28 ㎛ 없음
실시예 9 205 0.28 ㎛ 없음
없음 195 0.38 ㎛ 심함
DTP는 인쇄용 조사선량이다.
본 발명의 바닥 중합체 코팅은 해상능이 확실히 개선되었으며 정류파를 효과적으로 제거하였다, 즉 감광성을 손상시키지 않으면서 반사도가 개선되었다.
실시예 11
실시예 7의 중합체(중합체 3) 3.5 g을 PGMEA 46.5 g 중에 용해시킨 용액에 CYMEL(등록상표)303 0.52 g 및 CYCAT(등록상표)296-6 0.05 g을 첨가하였다. 그 용액을 0.2 ㎛ PTFE 필터로 여과하고, 4" 실리콘 웨이퍼 상에 스핀 코팅하고, 170℃의 고온 플레이트 상에서 60 초 동안 소성시켜서 두께가 약 2000 Å이 되도록 하였다. 그 후, 그 웨이퍼를 AZ(등록상표) 7805로 코팅하고, 90℃의 온도로 90초 동안 소성하여 0.5 ㎛(마이크로미터)의 두께가 되도록 하였다. 5000 Å AZ(등록상표) 7805 포토레지스트로 코팅하고, 고온 플레이트 상에서 90℃로 60초 동안 소성한 하나의 4" 실리콘 웨이퍼를 참고용으로 사용하였다. 이러한 웨이퍼를 NIKON(등록상표) 0.54 NA i-라인 스텝퍼에 의해 결상 방식으로 노출시켰는데, 상기 스텝퍼는 레티클(reticle)을 사용하였으며, 상기 레티클은 라인 크기가 0.2 내지 1.0 ㎛이고, 스텝퍼로 하여금 조사량 증분 2 mJ/cm2및 촛점 증분 0.2 ㎛로 15 ×21 촛점/노출 매트릭스를 인쇄하도록 지시하는 프로그램을 포함한다. 노출된 웨이퍼를 110℃에서 60초 동안 소성시키고, AZ(등록상표) 300 MIF 현상제로 35초 동안 퍼들 현상시켰다. 이들 웨이퍼상에 나타나는 레지스트 패턴을 Hitachi S-4000 장 방출 주사 전자 현미경으로 관찰하였다. 표 3은 본 발명의 바닥 반사 방지 코팅 위의 AZ(등록상표) 7805와 맨 실리콘 웨이퍼 위의 AZ(등록상표) 7805를 비교한 것을 보여준다.
바닥 코팅 DTP(mJ/㎠) 해상능 정류파
실시예 11 230 0.28 ㎛ 없음
없음 195 0.38 ㎛ 심함
이들 실시예에 기술된 중합체 코팅은 바닥 반사 방지 코팅을 사용하지 않은 포토레지스트에 비해 확실하게 개선된 해상능을 보이고, 정류파를 제거할 수 있는데, 다시말하면 감광성을 크게 손상시키지 않으면서 반사도를 개선시킬 수 있다는 것을 보여준다.
실시예 12. 스윙 비율 감소 시험
포토레지스트의 스윙 비율은 반도체 디바이스 제조에 통용되는 고도의 반사성 기재 또는 지형적인 형상 위의 포토레지스트 패턴의 선폭 변형과 밀접히 관계한다. 스윙 비율(%)이 작을수록, 반사성 기판 또는 지형적인 형상 위에서의 선폭 조절이 보다 더 용이해진다. 스윙 비율(%)은 하기 수학식 2로 계산된다.
상기 식 중, Emax와 Emin은 스윙 곡선 상의 최대 레지스트 두께와 최소 레지스트 두께에서의 투명화 조사량에 해당한다. 스윙 곡선은 현상 후, 레지스트 필름을 투명하게 하는 데 필요한 조사량을 레지스트 두께의 함수로서 도시하여 얻는다.
몇개의 4" 웨이퍼를 AZ(등록상표) 7805로 코팅하고, 90℃로 90초 동안 연소성하여 0.5 ㎛ 내지 1.0 ㎛의 두께가 되도록 하였다. 이러한 웨이퍼를 NIKON 0.54 NA i-라인 스텝퍼에 의해 결상 방식으로 노출시킨 후, 110℃에서 60초 동안 소성시키고, AZ(등록상표) 300 MIF 현상제로 35초 동안 퍼들 현상시켰다. 필름을 투명하게 하는 데 필요한 최소 조사량을 해당하는 레지스트 두께의 함수로서 도시하여, 스윙 곡선이라고 불리우는 사인파형 곡선을 얻었다.
실시예 9와 11의 중합체의 스윙 비율은 하기 표 4에 수록하였다.
시료 스윙 감소율(%)
AZ(등록상표) 7805 0
실시예 9(중합체 1) 92.05
실시예 11(중합체 3) 93.86
이로써, 본 발명의 시료 모두 스윙 곡선 비를 약 92%까지 효과적으로 감소시킨다는 것을 알았다.
중합체 4 - 실시예 13
2-(메타크릴로일옥시)에틸 아세토아세테이트(57.42 g, 0.26 mol) 및 디에틸렌 글리콜 모노비닐 에테르(18.5 g, 0.14 mol)를 감마 부티로락톤 용매 330 ㎖ 중에 용해하였다. 그 용액을 교반하면서 65℃로 가온하였다. 그 후, 용액에 밀봉된 고무 마개의 주입용 바늘을 통해 약 2 시간 동안 아르곤을 격렬하게 발포시킴으로써 탈가스화시켰다. 그 후, 감마 부티로락톤(3.5 ㎖) 중의 AIBN(0.657 g, 4 mmol, 총 단량체의 1 몰%)의 용액으로부터 얻은 한 분획을 주입하고, 30 분 더 탈가스화시켰다. 총 2회의 분획을 5 시간의 간격으로 첨가하였다. 그 후, 유입용 바늘과 배출용 바늘을 모두 제거하고, 용액을 65℃에서 20 시간 동안 밀봉된 용기 내에서 교반하였다. 이렇게 형성된 생성물을 실시예 15의 시약으로 사용하였다.
중합체 4 - 실시예 14
수산화 테트라메틸암모늄 수용액(25% 고체)(72 ㎖, 0.2 mol) 및 물(150 ㎖)에 설파닐산(34.99 g, 0.2 mol)과 이소부틸 니트리트(26 ㎖, 0.21 mol)의 순으로 첨가한 후 얻은 현탁액의 온도를 10℃ 이하로 유지하였다. HCl의 용액(37.8 중량% 수용액)(32.5 ㎖, 0.4 mol)을 물(35 ㎖)에 첨가한 후, 그 용액을 반응 혼합물에 서서히 첨가함으로써 디아조늄 염이 형성되었다. 그것을 균압 적하 깔대기에 넣어 실시예 15의 시약으로 사용하였다.
중합체 5 - 실시예 15
실시예 13에서 형성한 중합체 용액에 DMSO(500 ㎖) 및 수산화 테트라메틸 암모늄 수용액(144 ㎖, 0.4 mol)을 첨가하고, 그 혼합물을 10℃ 이하로 냉각시키면서 교반하였다. 그 후, 이것에, 실시예 14의 생성물을 적가하여 적색 용액을 얻었으며 이를 실온에서 하룻밤동안 교반하였다. 그 후, 이 용액을 2-프로판올(2000 ㎖)에 침전시켜서 중합체를 고체 생성물로 형성시켰다.
이 중합체를 물 중에 용해하여 실리콘 웨이퍼상에 코팅하였다. 상기 중합체는 포토레지스트 조성물에 대한 바닥 반사 방지 코팅으로 작용한다.

Claims (28)

  1. a) 하기 화학식 1로 표시되는 하나 이상의 염료 단위와, 하기 화학식 2로 표시되는 것으로 중합체를 가교시킬 수 있는 하나 이상의 단위를 함유하는 중합체 및
    b) 적합한 용매
    를 포함하는, 사진 평판 인쇄에 유용한 반사 방지 코팅 조성물:
    화학식 1
    [상기 식 중,
    R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
    X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이며,
    X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이고,
    n은 0∼2이며,
    A는 전자 끄는 기이고,
    R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬이며,
    Y는 공역 부위로서, N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
    m은 1 ∼ 5임]
    화학식 2
    [상기 식 중, G는 가교 작용기를 함유하고, R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시임].
  2. 제1항에 있어서, 상기 염료 단위는 하기 화학식을 갖는 것인 반사 방지 코팅 조성물:
    상기 식 중,
    R1내지 R3는 각각 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
    X1는 C=O, OCO, CONH, O, 아릴, (C1-C10)알킬, 시클로헥실, 피리딘 또는 피롤리돈이고,
    X2는 S, S(C1-C10)알킬, O, O(C1-C10)알킬, NH, N(C1-C10)알킬, 알킬 또는 히드록시알킬(C1-C10)이며,
    n은 0∼2이고,
    R4는 H, (C1-C10)알킬, (C1-C10)알콕시, 니트로, 할라이드, 시아노, 아릴, 알킬아릴, 알케닐, 디시아노비닐 또는 SO2CF3, COOZ, SO3Z, COZ, OZ, NZ2, SZ, SO2Z, NHCOZ, SO2NZ2인데, 여기서 Z는 H 또는 (C1-C10)알킬이며,
    Y는 공역 부위로서, N=N, CW=CW, CW=N 또는 N=CW인데, 여기서 W는 H, (C1-C10)알킬 또는 (C1-C10)알콕시이고,
    m은 1 ∼ 5이다.
  3. 제1항에 있어서, 상기 용매는 유기 용매들의 혼합물을 포함하는 것인 반사 방지 코팅 조성물.
  4. 제1항에 있어서, 상기 용매는 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르아세테이트, 에틸 락테이트, 헵탄온, 시클로펜탄온, 시클로헥산온 및 감마 부티로락톤으로 이루어진 군 중에서 선택되는 것인 반사 방지 코팅 조성물.
  5. 제1항에 있어서, 상기 용매는 물을 포함하는 것인 반사 방지 코팅 조성물.
  6. 제1항에 있어서, 상기 가교기는 카르보디이미드, 이소시아네이트, 보호된 이소시아네이트, 글리시딜 메타크릴레이트, 알킬올 아크릴아미드, 알킬올 메타크릴아미드 및 메틸 아크릴아미도글리콜레이트로 이루어진 군 중에서 선택되는 것인 반사 방지 코팅 조성물.
  7. 제1항에 있어서, 염료 단위내의 Y는 아조기인 것인 반사 방지 코팅 조성물.
  8. 제1항에 있어서, 중합체를 기준으로, 염료 단위는 약 5 몰% 내지 약 95 몰%범위이고, 가교 단위는 약 1 몰% 내지 약 50 몰% 범위인 것인 반사 방지 코팅 조성물.
  9. 제1항에 있어서, 상기 중합체는 비흡수성이고 비가교성인 하나 이상의 비닐 단량체를 더 포함하는 것인 반사 방지 코팅 조성물.
  10. 제9항에 있어서, 상기 비닐 단량체는 말레인산 무수물, 비닐 아크릴레이트, 비닐 페놀 수지, 비닐 에테르, 비닐 아크릴아미드, 비닐 카르복실산, 비닐 설폰산 및 N-(3-히드록시페닐메타크릴아미드)로 이루어진 군 중에서 선택되는 것인 반사 방지 코팅 조성물.
  11. 제1항에 있어서, 친수성 비닐 단량체 또는 친수성이 될 수 있는 비닐 단량체로부터 유도된 하나 이상의 단위를 더 포함하는 반사 방지 코팅 조성물.
  12. 제11항에 있어서, 상기 친수성 비닐 단량체는 하기 화학식 3으로 표시되는 것인 반사 방지 코팅 조성물:
    화학식 3
    상기 식 중,
    R1내지 R3는 각각 H, (C1-C10)알킬, (C1-C10)알콕시이고, W는 친수성기이다.
  13. 제12항에 있어서, 상기 친수성기는 O(CH2)2-O-(CH2)-OH, O(CH2)2-OH, (CH2)n-OH(식 중, n = 1∼4), COO(C1-C4)알킬, COOX, SO3X(식 중, X는 H, 알칼리 금속, 암모늄, 알킬 암모늄임) 및 CONHCH2OH로 이루어진 군 중에서 선택되는 것인 것인 수성 반사 방지 코팅 조성물.
  14. 제11항에 있어서, 상기 친수성 비닐 단량체는 말레인산 무수물, 푸마르산 무수물, 비닐 피리딘 및 비닐 피롤리돈으로 이루어진 군 중에서 선택되는 것인 반사 방지 코팅 조성물.
  15. 제11항에 있어서, 중합체를 기준으로, 염료 단위는 약 5 몰% 내지 약 95 몰%범위이고, 가교 단위는 약 1 몰% 내지 약 50 몰% 범위이고, 친수성 비닐 단량체는 약 1 몰% 내지 약 50 몰% 범위인 것인 반사 방지 코팅 조성물.
  16. 제1항에 있어서, 염료를 더 포함하는 반사 방지 코팅 조성물.
  17. 제1항에 있어서, 가교제를 더 포함하는 반사 방지 코팅 조성물.
  18. 제1항에 있어서, 산을 더 포함하는 반사 방지 코팅 조성물.
  19. 제1항에 있어서, 발열 산을 더 포함하는 반사 방지 코팅 조성물.
  20. 제19항에 있어서, 상기 산은 다가히드록시벤조페논의 디아조나프토퀴논 에스테르인 것인 반사 방지 코팅 조성물.
  21. 제1항에 있어서, 상기 중합체의 중량 평균 분자량이 약 2,500 내지 약 1,000,000의 범위인 것인 반사 방지 코팅 조성물.
  22. 제1항에 있어서, 금속 이온 농도가 각각의 금속 이온에 대해 50 ppb 이하인 것인 반사 방지 코팅 조성물.
  23. 하기 a) 내지 h)의 단계를 포함하여, 기판 위에 상을 형성시키는 방법:
    a) 제1항에서 정의한 방지 코팅 조성물로 기판을 코팅하는 단계,
    b) 상기 반사 방지 코팅을 가열하는 단계,
    c) 상기 반사 방지 코팅의 위에 포토레지스트 용액을 코팅하는 단계,
    d) 포토레지스트 코팅을 가열하여 포토레지스트 코팅으로부터 용매를 실질적으로 제거하는 단계,
    e) 포토레지스트 코팅을 결상(結像) 방식으로 노출시키는 단계,
    f) 수성 알칼리 현상제를 사용하여 상을 현상하는 단계,
    g) 임의로, 현상하기 전과 후에 기판을 가열하는 단계, 및
    h) 반사 방지 코팅을 건조 에칭시키는 단계.
  24. 제23항에 있어서, 상기 포토레지스트 용액은 노볼락 수지, 감광성 화합물 및 용매를 포함하는 것인 방법.
  25. 제23항에 있어서, 상기 포토레지스트 용액은 치환된 폴리히드록시스티렌, 광활성 화합물 및 용매를 포함하는 것인 방법.
  26. 제23항에 있어서, 상기 포토레지스트 용액은 폴리히드록시스티렌, 광활성 화합물, 용해 억제제 및 용매를 포함하는 것인 방법.
  27. 제23항에 있어서, 상기 반사 방지 코팅을 가열하는 온도가 약 70℃ 내지 약 250℃ 범위인 것인 방법.
  28. 제23항에 있어서, 상기 현상제는 금속 이온이 없는 알칼리성 수산화물 수용액인 것인 방법.
KR10-1999-7002592A 1996-09-30 1997-09-26 포토레지스트 조성물용 반사 방지 코팅 KR100484046B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/724,109 1996-09-30
US08/724,109 US5733714A (en) 1996-09-30 1996-09-30 Antireflective coating for photoresist compositions
US8/724,109 1996-09-30

Publications (2)

Publication Number Publication Date
KR20000048649A true KR20000048649A (ko) 2000-07-25
KR100484046B1 KR100484046B1 (ko) 2005-04-20

Family

ID=24909045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7002592A KR100484046B1 (ko) 1996-09-30 1997-09-26 포토레지스트 조성물용 반사 방지 코팅

Country Status (8)

Country Link
US (1) US5733714A (ko)
EP (1) EP0929844B1 (ko)
JP (1) JP3835823B2 (ko)
KR (1) KR100484046B1 (ko)
CN (1) CN1111760C (ko)
DE (1) DE69709140T2 (ko)
TW (1) TW419618B (ko)
WO (1) WO1998014834A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100355604B1 (ko) * 1999-12-23 2002-10-12 주식회사 하이닉스반도체 난반사 방지막용 중합체와 그 제조방법
KR100470938B1 (ko) * 2002-05-17 2005-02-22 (주)모레이 유기 난반사 방지막 형성용 광흡수성 고분자, 이를포함하는 조성물, 및 이를 이용한 반도체 소자 패턴의형성 방법
KR100557606B1 (ko) * 1999-08-31 2006-03-10 주식회사 하이닉스반도체 유기 난반사 방지용 중합체
KR100687873B1 (ko) * 2005-05-20 2007-02-27 주식회사 하이닉스반도체 유기 반사 방지막 조성물 및 이를 이용한 패턴 형성 방법

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406215B (en) * 1996-08-07 2000-09-21 Fuji Photo Film Co Ltd Composition for anti-reflective coating material in lithographic process, and process for forming resist pattern
JPH1165125A (ja) * 1997-08-21 1999-03-05 Tokyo Ohka Kogyo Co Ltd パターン形成方法
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US5919599A (en) * 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
JP4053631B2 (ja) * 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US5935760A (en) * 1997-10-20 1999-08-10 Brewer Science Inc. Thermosetting polyester anti-reflective coatings for multilayer photoresist processes
US6051369A (en) * 1998-01-08 2000-04-18 Kabushiki Kaisha Toshiba Lithography process using one or more anti-reflective coating films and fabrication process using the lithography process
TW457403B (en) * 1998-07-03 2001-10-01 Clariant Int Ltd Composition for forming a radiation absorbing coating containing blocked isocyanate compound and anti-reflective coating formed therefrom
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6048662A (en) * 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
US6251562B1 (en) 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
US6569595B1 (en) * 1999-02-25 2003-05-27 Kabushiki Kaisha Toshiba Method of forming a pattern
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6187506B1 (en) * 1999-08-05 2001-02-13 Clariant Finance (Bvi) Limited Antireflective coating for photoresist compositions
US6319835B1 (en) * 2000-02-25 2001-11-20 Shipley Company, L.L.C. Stripping method
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
KR100687850B1 (ko) * 2000-06-30 2007-02-27 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
WO2002031596A1 (en) * 2000-10-12 2002-04-18 University Of North Carolina At Chapel Hill Co2-processes photoresists, polymers, and photoactive compounds for microlithography
KR20030068729A (ko) * 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US7148265B2 (en) * 2002-09-30 2006-12-12 Rohm And Haas Electronic Materials Llc Functional polymer
US7323290B2 (en) * 2002-09-30 2008-01-29 Eternal Technology Corporation Dry film photoresist
KR100494147B1 (ko) * 2002-10-08 2005-06-13 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US7338742B2 (en) * 2003-10-08 2008-03-04 Hynix Semiconductor Inc. Photoresist polymer and photoresist composition containing the same
KR101163113B1 (ko) * 2004-03-16 2012-07-09 닛산 가가쿠 고교 가부시키 가이샤 유황 원자를 함유하는 반사 방지막
KR101216403B1 (ko) * 2004-07-02 2012-12-28 닛산 가가쿠 고교 가부시키 가이샤 할로겐 원자를 갖는 나프탈렌환을 포함하는 리소그라피용 하층막 형성 조성물
US7691556B2 (en) * 2004-09-15 2010-04-06 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
US20060057501A1 (en) * 2004-09-15 2006-03-16 Hengpeng Wu Antireflective compositions for photoresists
US7399581B2 (en) * 2005-02-24 2008-07-15 International Business Machines Corporation Photoresist topcoat for a photolithographic process
US20070083995A1 (en) * 2005-10-12 2007-04-19 Purdy William J Fluidized positioning and protection system
US7553905B2 (en) * 2005-10-31 2009-06-30 Az Electronic Materials Usa Corp. Anti-reflective coatings
US7872069B2 (en) * 2006-03-31 2011-01-18 Milliken & Company Coated substrates and polymer dispersions suitable for use in making the same
US8431648B2 (en) * 2006-03-31 2013-04-30 Milliken & Company Coated substrates and polymer dispersions suitable for use in making the same
US7662461B2 (en) 2006-03-31 2010-02-16 Milliken & Company Synthetic leather articles and methods for producing the same
US7774104B2 (en) * 2006-12-27 2010-08-10 Fujitsu Ten Limited Electronic apparatus and electronic system
US20080159557A1 (en) * 2006-12-27 2008-07-03 Fujitsu Ten Limited Electronic apparatus, electronic system and method of controlling sound output
US20080162044A1 (en) * 2006-12-28 2008-07-03 Fujitsu Ten Limited In-vehicle electronic apparatus and in-vehicle electronic system
US20080161950A1 (en) * 2006-12-28 2008-07-03 Fujitsu Ten Limited Electronic system, electronic apparatus and method of operating audio unit
US7765046B2 (en) * 2006-12-28 2010-07-27 Fujitsu Ten Limited In-vehicle electronic apparatus and in-vehicle electronic system
US20080157999A1 (en) * 2006-12-28 2008-07-03 Fujitsu Ten Limited Electronic apparatus, electronic system and method of controlling audio output
US7904236B2 (en) * 2006-12-28 2011-03-08 Fujitsu Ten Limited Electronic apparatus and electronic system
US7860643B2 (en) * 2006-12-28 2010-12-28 Fujitsu Ten Limited In-vehicle detachably electronic apparatus and in-vehicle electronic system
US8706396B2 (en) * 2006-12-28 2014-04-22 Fujitsu Ten Limited Electronic apparatus and electronic system
US7684200B2 (en) * 2006-12-28 2010-03-23 Fujitsu Ten Limited Electronic apparatus and electronic system
JP4842785B2 (ja) * 2006-12-04 2011-12-21 富士通テン株式会社 車載用電子システム及び車載電子装置
US7869196B2 (en) * 2006-12-28 2011-01-11 Fujitsu Ten Limited Electronic apparatus
US20090042133A1 (en) * 2007-08-10 2009-02-12 Zhong Xiang Antireflective Coating Composition
US8141667B2 (en) * 2008-06-17 2012-03-27 The Board Of Trustees Of The University Of Alabama For And On Behalf Of Its Component Institution, The University Of Alabama Hybrid dinghy pusher
WO2010021030A1 (ja) * 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP2014074730A (ja) * 2011-02-04 2014-04-24 Nissan Chem Ind Ltd 非感光性レジスト下層膜形成組成物
US8623589B2 (en) 2011-06-06 2014-01-07 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions and processes thereof
KR101466147B1 (ko) * 2011-12-05 2014-11-27 제일모직 주식회사 컬러필터용 감광성 수지 조성물 및 이를 이용한 컬러필터
KR101618689B1 (ko) 2012-12-24 2016-05-09 제일모직 주식회사 컬러필터용 감광성 수지 조성물 및 이를 이용한 컬러필터
DE102019134535B4 (de) * 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Materialien für unteren antireflexbelag
CN116102937B (zh) * 2021-11-09 2023-10-20 上海新阳半导体材料股份有限公司 一种底部抗反射涂层及其制备方法和应用
CN116102939B (zh) * 2021-11-09 2023-10-03 上海新阳半导体材料股份有限公司 一种深紫外光刻用底部抗反射涂层及其制备方法和应用

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5851515A (ja) * 1981-09-22 1983-03-26 Fujitsu Ltd レジスト膜の露光方法
DE3211400A1 (de) * 1982-03-27 1983-09-29 Basf Ag, 6700 Ludwigshafen Polymere mit mesogenen gruppen und farbstoffresten in den seitenketten
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4617252A (en) * 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
US5207952A (en) * 1986-10-10 1993-05-04 University Of Southern Mississippi Side chain liquid crystalline polymers as nonlinear optical materials
JPS63202915A (ja) * 1987-02-19 1988-08-22 Oki Electric Ind Co Ltd 半導体装置の製造方法
DE3817012A1 (de) * 1988-05-19 1989-11-30 Basf Ag Positiv und negativ arbeitende strahlungsempfindliche gemische sowie verfahren zur herstellung von reliefmustern
EP0440374B1 (en) * 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
FR2668158B1 (fr) * 1990-10-22 1994-05-06 Thomson Csf Polymere reticulable pour applications en optique non lineaire.
SG43691A1 (en) * 1991-06-28 1997-11-14 Ibm Top antireflective coating films
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
JP2791525B2 (ja) * 1992-04-16 1998-08-27 三菱電機株式会社 反射防止膜の選定方法およびその方法により選定された反射防止膜
US5294680A (en) * 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
DE4232394A1 (de) * 1992-09-26 1994-03-31 Basf Ag Copolymerisate mit nichtlinear optischen Eigenschaften und deren Verwendung
FR2709755B1 (fr) * 1993-09-06 1995-11-17 France Telecom Matériau polymère réticulable, utilisable en optique non linéaire, et son procédé d'obtention.
DE69423641T2 (de) * 1993-10-12 2000-10-19 Clariant Finance Bvi Ltd Reflexionsvermindernde oberflächenbeschichtungen
JP3334304B2 (ja) * 1993-11-30 2002-10-15 ソニー株式会社 半導体装置の製造方法
US5731385A (en) * 1993-12-16 1998-03-24 International Business Machines Corporation Polymeric dyes for antireflective coatings
JPH07333855A (ja) * 1994-06-10 1995-12-22 Mitsubishi Chem Corp 反射防止塗布組成物及びパターン形成方法
JP3248353B2 (ja) * 1994-06-29 2002-01-21 ソニー株式会社 反射防止膜の設計方法
US5525457A (en) * 1994-12-09 1996-06-11 Japan Synthetic Rubber Co., Ltd. Reflection preventing film and process for forming resist pattern using the same
TW394850B (en) * 1996-03-07 2000-06-21 Clariant Finance Bvi Ltd Bottom antireflective coatings through refractive index modification by anomalous dispersion
WO1997033200A1 (en) * 1996-03-07 1997-09-12 Clariant International, Ltd. Light-absorbing antireflective layers with improved performance due to refractive index optimization
US5652317A (en) * 1996-08-16 1997-07-29 Hoechst Celanese Corporation Antireflective coatings for photoresist compositions
US5652297A (en) * 1996-08-16 1997-07-29 Hoechst Celanese Corporation Aqueous antireflective coatings for photoresist compositions

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100557606B1 (ko) * 1999-08-31 2006-03-10 주식회사 하이닉스반도체 유기 난반사 방지용 중합체
KR100355604B1 (ko) * 1999-12-23 2002-10-12 주식회사 하이닉스반도체 난반사 방지막용 중합체와 그 제조방법
KR100470938B1 (ko) * 2002-05-17 2005-02-22 (주)모레이 유기 난반사 방지막 형성용 광흡수성 고분자, 이를포함하는 조성물, 및 이를 이용한 반도체 소자 패턴의형성 방법
KR100687873B1 (ko) * 2005-05-20 2007-02-27 주식회사 하이닉스반도체 유기 반사 방지막 조성물 및 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
US5733714A (en) 1998-03-31
EP0929844B1 (en) 2001-12-12
CN1232552A (zh) 1999-10-20
JP3835823B2 (ja) 2006-10-18
KR100484046B1 (ko) 2005-04-20
DE69709140D1 (de) 2002-01-24
CN1111760C (zh) 2003-06-18
TW419618B (en) 2001-01-21
JP2001502439A (ja) 2001-02-20
DE69709140T2 (de) 2002-07-11
WO1998014834A1 (en) 1998-04-09
EP0929844A1 (en) 1999-07-21

Similar Documents

Publication Publication Date Title
KR100484046B1 (ko) 포토레지스트 조성물용 반사 방지 코팅
KR100453603B1 (ko) 포토레지스트 조성물에 사용하기 위한 반사 방지 코팅
US5981145A (en) Light absorbing polymers
KR100613672B1 (ko) 원자외선 포토레지스트용 반사 방지 조성물
KR100567639B1 (ko) 포토레지스트 조성물용 반사 방지 코팅 조성물 및 이의 용도
US6187506B1 (en) Antireflective coating for photoresist compositions
JP3846809B2 (ja) アリールヒドラゾ染料を含有する底部反射防止膜
KR100582870B1 (ko) 유기 난반사 방지막 형성용 조성물 및 이를 이용한 반도체소자 패턴의 형성 방법
KR20090067259A (ko) 고리형 무수물기를 포함하는 고분자 중합체, 및 이를포함하는 유기반사방지막 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120329

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee