KR102553248B1 - 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버 - Google Patents

대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버 Download PDF

Info

Publication number
KR102553248B1
KR102553248B1 KR1020160045616A KR20160045616A KR102553248B1 KR 102553248 B1 KR102553248 B1 KR 102553248B1 KR 1020160045616 A KR1020160045616 A KR 1020160045616A KR 20160045616 A KR20160045616 A KR 20160045616A KR 102553248 B1 KR102553248 B1 KR 102553248B1
Authority
KR
South Korea
Prior art keywords
lower electrode
rod
plasma chamber
bowl
shaped portion
Prior art date
Application number
KR1020160045616A
Other languages
English (en)
Other versions
KR20160124006A (ko
Inventor
다니엘 아더 브라운
존 패트릭 홀랜드
마이클 씨. 켈로그
제임스 이. 타판
제럴 케이. 안톨릭
이안 켄워시
더오 파나고풀로스
즈강 첸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160124006A publication Critical patent/KR20160124006A/ko
Priority to KR1020230086540A priority Critical patent/KR20230104850A/ko
Application granted granted Critical
Publication of KR102553248B1 publication Critical patent/KR102553248B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

플라즈마 챔버는 플라즈마 챔버 내의 컨덕턴스를 증가시키고 그리고 컨덕턴스의 균일성을 증가시키도록 제공된다. 전력을 플라즈마 챔버에 공급하기 위한 RF (radio frequency) 경로는 플라즈마 챔버의 중심 축에 대해 대칭이다. 또한, 플라즈마 챔버로부터 재료들을 제거하도록 사용된 펌프들은 중심 축에 대해 대칭으로 위치된다. RF 경로들 및 펌프들의 대칭적인 배열들은 플라즈마 챔버 내의 컨덕턴스 균일성의 증가를 용이하게 한다.

Description

대칭적인 컨덕턴스 및 RF 전달을 위해 수직 지지 스템을 가진 챔버{CHAMBER WITH VERTICAL SUPPORT STEM FOR SYMMETRIC CONDUCTANCE AND RF DELIVERY}
본 실시예들은 척으로의 RF (radio frequency) 의 대칭 전달 및 대칭 컨덕턴스를 인에이블하는 대칭적인 챔버 설계에 관한 것이다.
플라즈마 시스템은 플라즈마 챔버 및 하나 이상의 RF 생성기들을 포함한다. 하나 이상의 RF 생성기들은 플라즈마 챔버 내에서 플라즈마를 형성하도록 플라즈마 챔버에 전력을 공급한다. RF 전력은 임피던스 매칭 회로 및 RF 전송 라인을 통해 공급된다. 플라즈마 챔버 내에 남아있는 플라즈마 및/또는 재료들은 펌프를 사용하여 제거된다. 플라즈마는 웨이퍼를 프로세싱하도록 사용된다.
플라즈마 시스템 내의 컴포넌트들 (components) 의 배열은 적절하지 않다면, 웨이퍼의 불규칙한 프로세싱을 발생시킨다. 또한, 재료들 및/또는 플라즈마의 제거는, 적절하게 행해지지 않는다면, 웨이퍼의 프로세싱에 부정적인 영향을 준다.
본 개시에 기술된 실시예들은 이 맥락에서 발생한다.
본 개시의 실시예들은 RF 대칭을 가진 고 컨덕턴스 챔버를 제공하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 수많은 방식들로, 예를 들어, 프로세스, 장치, 시스템, 하드웨어의 피스, 또는 컴퓨터-판독 가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들은 이하에 기술된다.
웨이퍼 사이즈가 증가함에 따라, 예를 들어, 300 ㎜ (millimeter) 로부터 450 ㎜로 증가함에 따라, 웨이퍼를 홀딩하고 웨이퍼를 프로세싱하도록 사용되는 플라즈마 챔버 사이즈가 증가된다. 웨이퍼를 프로세싱하는 예들은 웨이퍼를 에칭하는 것, 웨이퍼 상에 모노머들 또는 폴리머들을 증착하는 것, 웨이퍼를 세정하는 것, 웨이퍼를 스퍼터링하는 것, 등을 포함한다. 플라즈마 챔버로부터 플라즈마 챔버 외부로의 잔여물 재료들, 예를 들어, 에칭 재료들, 플라즈마, 증착 재료들, 등의 컨덕턴스를 증가시키도록 그리고 웨이퍼를 수용하도록 플라즈마 챔버의 사이즈가 증가된다.
일부 실시예들에서, 플라즈마 챔버는 플라즈마 챔버의 베이스에 장착될 복수의 진공 펌프들의 제공을 위한 큰 내경의 플라즈마 챔버이고 그리고 중심에 장착된 페데스탈, 예를 들어, 캐소드, 척, 하부 전극, 등을 갖는다. 내경은 300 ㎜의 웨이퍼를 프로세싱하도록 사용되는 내경보다 보다 크다. 일반적으로, 플라즈마 챔버의 측벽의 내측 표면은 원통형이지만, 측벽의 외측 표면은 다른 형상들, 예를 들어, 정사각형 또는 직사각형, 등이다. 플라즈마 챔버의 내경 및 페데스탈의 외경은, 웨이퍼의 평면과 플라즈마 챔버의 하단 표면 사이의 플로우 컨덕턴스를 최대화하도록 선택된다.
플라즈마 챔버의 사이즈가 증가함에 따라, 웨이퍼를 균일하게 프로세싱하는 것이 더 어려워진다. 일부 실시예들에서, RF 전력은 플라즈마를 스트라이킹하거나 (striking) 플라즈마 챔버 내에서 플라즈마를 유지하기 위해 웨이퍼에 대칭으로 제공된다. 예를 들어, RF 전력은 하부 전극의 중심을 통해 지나가는 중심 축 주위에 RF 로드를 배치함으로써 대칭으로 제공된다. 예시를 위해, RF 로드는 플라즈마 챔버로부터 플라즈마 챔버 외부로 잔여물 재료들의 컨덕턴스의 대칭을 감소시키는 기회들을 감소시키도록 벤딩되지 않는다 (bend). 또한, 웨이퍼의 프로세싱 후 또는 웨이퍼의 프로세싱 동안, 잔여물 재료들은 플라즈마 챔버로부터 대칭 방식으로 제거된다. 이러한 제거는 웨이퍼 프로세싱의 균일성을 용이하게 한다. 제거는 중심 축에 대해 대칭으로 진공 펌프들을 배치함으로써 용이하게 된다.
다양한 실시예들에서, 중심에 장착된 RF 로드는 다른 설비를 위한 제공들, 예를 들어, 페데스탈에 전달될, 정전 척 (ESC) 냉각 유체, 써모커플 직류 (DC) 전압, 헬륨 전달, ESC 히터 전력, 등의 제공들을 포함한다.
일부 실시예들에서, 또한 때때로 컨덕턴스 또는 펌핑 대칭으로서 지칭되는, 플라즈마 챔버 내의 잔여물 재료들의 플로우 대칭이, 플라즈마 챔버 내의 웨이퍼로의 RF 전력의 대칭 전달과 함께 제공된다. 본 명세서에 기술된 시스템들 및 방법들은, 또한 컨덕턴스의 대칭을 개선하고 동시에 웨이퍼로의 RF 전력의 대칭 전달을 인에이블하는 대칭적인 RF 피드 구조체를 생성하는 동안, 웨이퍼의 평면, 예를 들어, 상단 표면, 등에서의 컨덕턴스를 증가시킨다.
다양한 실시예들에서, 구동 메커니즘은 프로세싱 시퀀스의 상이한 시간들에 웨이퍼의 위치를 가변하기 위해서 페데스탈 및 RF 로드를 수직 방향으로 이동시키도록 제공된다. 일 실시예에서, 구동 메커니즘은 수직 지지 스템의 수직 이동을 허용하는 선형 구동 메커니즘이다. 위 또는 아래로의 이동은 웨이퍼의 프로세싱 동안 웨이퍼를 로딩하거나 언로딩하고 또는 페데스탈의 높이를 변화시킨다.
일부 실시예들에서, 플라즈마 챔버는 플라즈마 챔버의 프로세스 구역으로부터 원통형인 구역으로 컨덕턴스 경로를 생성하는 페데스탈 주위의 원통형인 구역, 예를 들어, 공간, 등으로부터, 페데스탈과 상부 전극 사이의 프로세스 구역을 분리하도록 그리드, 예를 들어, 차폐부 (shield), 등을 포함한다. 그리드 내의 개구들의 사이즈는 프로세스 구역과 원통형인 구역 사이의 컨덕턴스를 설정 또는 조정하도록 선택된다.
본 명세서에 기술된 시스템들 및 방법들의 일부 이점들은, 페데스탈로의 대칭적인 RF 전달 그리고 또한 플라즈마 챔버로부터 잔여물 재료들의 대칭적인 컨덕턴스를 제공하는 것을 포함한다. 본 명세서에 기술된 시스템들 및 방법들의 추가의 이점들은, 페데스탈 및 RF 로드의 이동을 수직 방향으로 제어하는 것을 포함한다. 수직 방향으로의 이동은 컨덕턴스의 대칭 및 페데스탈로의 RF 전력의 전달의 대칭의 달성을 용이하게 한다. 대칭적인 RF 전달 및 컨덕턴스의 대칭은 웨이퍼의 프로세싱의 균일성을 달성하는 것을 용이하게 한다.
다른 양태들은 첨부된 도면들과 함께 취해진, 다음의 상세한 기술로부터 분명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 다음의 기술을 참조하여 이해된다.
도 1a는 플라즈마 시스템의 실시예의 측면도이다.
도 1b는 플라즈마 챔버의 내경과 하부 전극 사이에 배치된 그리드를 예시하기 위한 도면이다.
도 1c는 컨덕턴스에 영향을 주는 다양한 파라미터들 및 플라즈마 챔버 내의 상이한 컨덕턴스 구역들을 예시하기 위한 플라즈마 챔버의 실시예의 측면도이다.
도 2a는 플라즈마 챔버 내의 컨덕턴스를 증가시키도록 플라즈마 챔버의 직경, 캐소드의 외경, 및 플라즈마 챔버의 높이가 얼마나 변화되는지를 예시하기 위한 도면이다.
도 2b는 플라즈마 챔버 내의 구역의 컨덕턴스를 예시하기 위한 플라즈마 챔버의 실시예의 평면도이다.
도 3a는 플라즈마 챔버 내로의 척 지지 칼럼의 수직량을 예시하기 위한 도면이다.
도 3b는 플라즈마 챔버 내에 배치된 웨이퍼 (W) 의 상단 표면에서의 압력의 균일성을 예시하기 위한 압력 맵의 실시예이다.
도 3c는 웨이퍼 위의 미리 결정된 거리에서 압력의 균일성을 예시하기 위한 압력 맵의 실시예이다.
도 4는 하나 이상의 펌프들이 플라즈마 챔버로부터 플라즈마 프로세스의 플라즈마 및/또는 잔여물들을 제거하도록 사용된다는 것을 예시하기 위한 그래프이다.
도 5a는 배플이 없는 척 지지 칼럼의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 평면도이다.
도 5b는 배플이 플라즈마 시스템에서 사용되지 않을 때 웨이퍼의 상단 표면에서의 압력 플롯의 실시예이다.
도 5c는 배플이 플라즈마 시스템에서 사용되지 않을 때 웨이퍼의 상단 표면으로부터 미리 결정된 거리에서의 압력 플롯의 실시예이다.
도 5d는 배플을 가진 척 지지 칼럼의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 평면도이다.
도 5e는 배플이 플라즈마 시스템에서 사용될 때 웨이퍼의 상단 표면에서의 압력 플롯의 실시예이다.
도 5f는 배플이 플라즈마 시스템에서 사용될 때 웨이퍼의 상단 표면으로부터 미리 결정된 거리에서의 압력 플롯의 실시예이다.
도 5g는 또 다른 배플을 가진 척 지지 칼럼의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 평면도이다.
도 5h는 다른 배플이 플라즈마 시스템에서 사용될 때 웨이퍼의 상단 표면에서의 압력 플롯의 실시예이다.
도 5i는 다른 배플이 플라즈마 시스템에서 사용될 때 웨이퍼의 상단 표면으로부터 미리 결정된 거리에서의 압력 플롯의 실시예이다.
도 5j는 프로세서에 의한 배플의 제어를 예시하기 위한 배플의 등축도이다.
도 6은 포핏 (poppet) 밸브를 사용하거나 사용하지 않고 플라즈마 챔버로부터 플라즈마 챔버 외부로 가스의 컨덕턴스의 제어량을 예시하기 위한 그래프의 실시예이다.
도 7a는 척 지지 칼럼이 플라즈마 챔버의 측벽에 의해 둘러싸인 내부 볼륨 내에 있도록 전이 플랜지의 내측 하단 표면 내에 형성된 개구를 통해 삽입된다는 것을 예시하기 위한 플라즈마 시스템의 실시예의 등축도이다.
도 7b는 척 지지 칼럼 주위의 측벽의 피팅 및 보울 형상의 구조체의 피팅을 예시하기 위한 플라즈마 시스템의 실시예의 측면도이다.
도 7c는 측벽에 대한 상부 전극 시스템의 피팅을 예시하기 위한 플라즈마 시스템의 실시예의 측면도이다.
도 8a는 측벽의 실시예의 등축도이다.
도 8b는 전이 플랜지의 실시예의 등축도이다.
도 9는 서로 피팅된 측벽 및 전이 플랜지의 등축도이다.
도 10a는 플라즈마 챔버의 하단 부분으로부터 플라즈마 챔버 내로 수직으로 장착된 척 지지 칼럼의 실시예의 측면도이다.
도 10b는 중심 축에 대해 수직으로 대칭적인 척 지지 칼럼을 사용하여, 에칭 레이트가 캔틸레버 (cantilevered) 스템 지지부와 비교할 때 보다 균일하다는 것을 예시하기 위한 그래프의 실시예이다.
도 11은 대칭적인 RF 공급 경로 및 대칭적인 RF 복귀 경로를 예시하기 위한 플라즈마 시스템의 실시예의 도면이다.
도 12는 하부 전극 상으로의 웨이퍼의 로딩 동안 하부 전극의 이송 포지션을 예시하기 위한 도 11의 플라즈마 시스템의 실시예의 도면이다.
도 13은 웨이퍼의 프로세싱 동안 하부 전극의 위치를 예시하기 위한 도 11의 플라즈마 시스템의 실시예의 도면이다.
다음의 실시예들은 RF 대칭을 가진 고 컨덕턴스 챔버를 제공하기 위한 시스템들 및 방법들을 기술한다. 척 지지 칼럼 내의 척 지지 칼럼 및 RF 로드의 수직 배열은, 하부 전극 주위에 대칭적인 컨덕턴스, 예를 들어, 플로우, 등을 허용한다. RF 로드의 수직 배열은 또한 하부 전극으로의 대칭적인 RF 전달을 허용한다. 부가적으로, 일부 실시예들에서, 하나 이상의 펌프들은 대칭적인 컨덕턴스를 달성하도록 보다 효율적이고 대칭적인 펌핑을 제공하기 위해서 척 지지 칼럼 아래 그리고 척 지지 칼럼 주위에 배치된다. 다양한 실시예들에서, 배플들은 대칭적인 컨덕턴스를 제어 및 달성하도록 추가된다. 본 실시예들이 이들 구체적인 상세사항들의 일부 또는 모두 없이 실시될 수도 있다는 것이 이해될 것이다. 다른 예들에서, 잘 알려진 프로세스 동작들은 시스템들 및 방법들의 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않는다.
도 1a는 플라즈마 챔버 (11) 를 가진 플라즈마 시스템 (10) 의 실시예의 도면이다. 플라즈마 시스템 (10) 은 상부 전극 어셈블리 (12) 를 포함한다. 일부 실시예들에서, 상부 전극 어셈블리 (12) 는 플라즈마 챔버 (11) 로 RF 신호를 전달하기 위해, 용량 결합된 전극, 예를 들어, 평행 평판, 상부 전극, 등, 또는 유도 결합된 전극, 예를 들어, 하나 이상의 코일들, 등이도록 선택된다. 플라즈마 챔버 (11) 의 이점은 상이한 상부 전극 시스템들, 예를 들어 용량 결합된 전극, 유도 결합된 전극, 등이 상이한 시간들에서 플라즈마 챔버 (11) 의 측벽 (14) 에 커플링된다는 것이다. 측벽 (14) 은 측벽 (14) 에 의해 둘러싸인 볼륨인, 인클로저 (25) 를 둘러싼다. 플라즈마 챔버 (11) 는 전이 플랜지 (16), 배플 (18A), 및 배플 (18B) 을 더 포함한다. 펌프 (20A) 및 펌프 (20B) 는 대응하는 배플 (18A 및 18B) 각각 아래에 위치된다. 플라즈마 챔버 (11) 는 또한 하부 전극 (22), 유전체 (24), 및 RF 로드 (30) 를 포함한다. 보울 형상의 구조체 (29A), 척 지지 칼럼 (29B), RF 로드 (28), RF 로드 (28) 를 둘러싸는 RF 시스 (31), 임피던스 매칭 네트워크 (43), 하나 이상의 RF 생성기들 (51), 모터 구동 어셈블리 (45), 선형 레일 (47), 및 공급부 (49) 가 플라즈마 시스템 (10) 내에 포함된다. 일부 실시예들에서, 모터 구동 어셈블리 (45) 와 선형 레일 (47) 의 조합이 선형 구동 어셈블리로서 본 명세서에서 지칭된다.
RF 로드 (30) 는 척 지지 칼럼 (29B) 내에 형성된 중공형 공간 (33) 을 통해 연장한다. 중공형 공간 (33) 은 척 지지 칼럼 (29B) 의 내측 표면에 의해 둘러싸인다. RF 시스 (31) 와 RF 로드 (28) 는 함께 RF 전송 라인을 형성한다. RF 로드 (28) 는 절연체를 통해 RF 시스 (31) 로부터 분리된다. RF 로드들 (28 및 30) 은 수정된 RF 신호를 전달하도록 전도성 재료로 이루어진다. RF 시스 (31) 와 RF 로드 (28) 사이의 절연체는 RF 시스 (31) 를 통해 전달된 RF 신호로부터 RF 로드 (28) 를 통해 전달된 RF 신호를 분리한다. 일부 실시예들에서, RF 로드 (28) 는 전기적 접속부를 통해 RF 로드 (30) 에 연결되고, 그리고 RF 로드 (30) 는 전기적 접속부를 통해 하부 전극 (22) 에 연결된다. 일부 실시예들에서, 전기적 접속부 지점은 전도성 조이닝 (joining) 표면, 전도성 클램프, 전도성 글루, RF 스트랩, 테이프, 또는 또 다른 커넥터를 포함한다.
보울 형상의 구조체 (29A) 는 커플링 메커니즘, 예를 들어, 볼트들, 스크류들, 너트들, 등을 통해 척 지지 칼럼 (29B) 에 피팅된다. 척 지지 칼럼 (29B) 은 보울 형상의 구조체 (29A) 를 지지하도록 보울 형상의 구조체 (29A) 에 피팅, 예를 들어, 볼트 결합, 부착, 등이 된다. 보울 형상의 구조체 (29A) 는 하부 전극 (22) 을 지지하는 유전체 (24) 에 부착된다. 또한, 하부 전극 (22) 은 RF 로드 (30) 에 의해 지지된다. 또한, 다양한 실시예들에서, 하부 전극 (22) 은 중심 축 (1002) 에 대해 대칭으로 배치된다. 예를 들어, 하부 전극 (22) 은 중심 축 (1002) 에 대해 동축이고 동심이다. 유전체 (24) 는 절연체 재료, 예를 들어, 세라믹, 등으로 이루어진다.
일부 실시예들에서, 보울 형상의 구조체 (29A) 는 플라즈마 챔버 (11) 의 상단 개구를 통해 이송된 후 플라즈마 챔버 (11) 내에 피팅된다. 상단 개구는 상부 전극 어셈블리 (12) 가 측벽 (14) 에 피팅, 예를 들어, 볼트 결합, 부착, 등이 되도록 측벽 (14) 의 상단 상에 배치되지 않을 때 형성된다. 또한, 척 지지 칼럼 (29B) 의 부분은 전이 플랜지 (16) 내에 형성된 하단 개구로부터 플라즈마 챔버 (11) 내에 수용된다. 전이 플랜지 (16) 는 측벽 (14) 의 하단 표면 (17) 에 부착, 예를 들어, 피팅, 볼트 결합, 등이 되고, 상부 전극 어셈블리 (12) 는 측벽 (14) 의 상단 표면 (19) 에 부착된다. 상단 표면 (19) 은 하단 표면 (17) 과 비교하여 측벽 (14) 의 반대편 단부 상에 위치된다.
하나 이상의 RF 생성기들 (51) 의 예들은 x ㎒ (megahertz) RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기를 포함한다. x ㎒ RF 생성기는 400 ㎑ (kilohertz) RF 생성기 또는 2 ㎒ RF 생성기이다. y ㎒ RF 생성기는 27 ㎒ RF 생성기이고 z ㎒ RF 생성기는 60 ㎒ RF 생성기이다. 임피던스 매칭 네트워크 (43) 는 하나 이상의 레지스터들, 하나 이상의 커패시터들, 및 하나 이상의 인덕터들을 포함한다. 일부 실시예들에서, 임피던스 매칭 네트워크 (43) 는 하나 이상의 커패시터들 및 하나 이상의 인덕터들을 포함한다.
RF 로드 (30) 의 부분 (44A) 은 보울 형상의 구조체 (29A) 의 형상과 유사한 형상을 갖는다. 예를 들어, 부분 (44A) 은 또한 보울 형상이다. 부분 (44A) 과 보울 형상의 구조체 (29A) 사이의 형상들의 유사성은, 이하에 기술되는, RF 공급 경로 및 RF 복귀 경로의 임피던스들 사이의 미스매치 (mismatch) 의 감소를 허용한다. 또한, RF 로드 (30) 내의 중공형 공간은 RF 로드 (30) 의 부분 (44B) 과 비교하여 부분 (44A) 에서 증가된 직경을 갖고, 그리고 직경의 증가는 다양한 공급 라인들, 예를 들어, 하부 전극 (22) 을 가열 및 냉각하기 위한 가스 공급 라인들, 하부 전극 (22) 의 온도를 측정하기 위한 써모커플 라인들, 하부 전극 (22) 을 가열하도록 AC (alternating current) 전력을 제공하기 위한 AC 공급 라인들, 등이 중공형 공간 내부에 패키징되게 한다.
하부 전극 (22) 은 금속, 예를 들어, 양극 산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 또한, 상부 전극도 금속, 예를 들어, 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 상부 전극은 하부 전극 (22) 반대편에 위치되고 하부 전극 (22) 과 마주본다. 공급부 (49) 는 하부 전극 (22) 을 냉각하기 위한 하나 이상의 유체들, 하부 전극 (22) 을 가열하기 위한 하나 이상의 유체들, 등을 저장하기 위한 하나 이상의 저장 컨테이너들을 포함한다.
모터 구동 어셈블리 (45) 는 드라이버, 예를 들어, 하나 이상의 트랜지스터들, 등, 및 모터를 포함한다. 드라이버에는 이하에 더 기술되는, 호스트 컴퓨터 시스템의 프로세서로부터 신호가 제공된다. 본 명세서에 사용된 바와 같이, 프로세서는 ASIC (application specific integrated circuit), 또는 PLD (programmable logic device), 또는 마이크로프로세서, 또는 제어기이다. 신호를 수신할 시에, 드라이버는 모터의 고정자에 제공할 전류 신호를 생성한다. 모터의 회전자는 고정자가 전류 신호를 수신할 때 회전한다. 연결 메커니즘 (53), 예를 들어, 하나 이상의 로드들, 기어 메커니즘을 통해 서로 연결된 하나 이상의 로드들, 등은, 회전자에 연결되고 그리고 회전자의 회전으로 이동한다. 연결 메커니즘 (53) 의 이동은 이하에 더 기술되는, 고정된 지지부에 대해 수직 방향으로 선형 레일 (47) 을 이동시킨다. 수직 방향으로의 선형 레일 (47) 의 이동은, 하부 전극 (22) 과 상부 전극 어셈블리 (12) 사이의 갭을 변화시키도록 상부 전극 어셈블리 (12) 에 대해 수직 방향으로 보울 형상의 구조체 (29A), 척 지지 칼럼 (29B), RF 로드 (30), 및 하부 전극 (22) 을 이동시킨다. 웨이퍼는 프로세싱, 예를 들어, 에칭, 상부에서의 재료들 증착, 세정, 스퍼터링, 등을 위해 갭 내에 배치된다.
하나 이상의 RF 생성기들 (51) 은 수정된 RF 신호를 생성하도록 임피던스 매칭 네트워크 (43) 에 의해 수정되는, 대응하는 하나 이상의 RF 신호들을 생성한다. 예를 들어, 임피던스 매칭 네트워크 (43) 는, 임피던스 매칭 네트워크 (43) 의 출력부에 연결된, 부하, 예를 들어, RF 전송 라인, 플라즈마 챔버 (11), 등의 임피던스와, 임피던스 매칭 네트워크 (43) 의 입력부에 연결된, 소스, 예를 들어, 임피던스 매칭 네트워크 (43) 에 하나 이상의 RF 생성기들 (51) 을 연결하는 대응하는 하나 이상의 RF 케이블들의 임피던스를 매칭한다. 수정된 신호는 RF 전송 라인의 RF 로드 (28) 를 통해 RF 로드 (30) 로 전송되고, 그리고 RF 로드 (30) 로부터 하부 전극 (22) 으로 더 전송된다.
RF 로드 (28), RF 로드 (30), 및 하부 전극 (22) 은, 수정된 RF 신호를 하부 전극 (22) 으로 공급하기 위한 RF 공급 경로를 형성한다. 벤딩되지 않고 RF 로드의 길이 전반에 걸쳐 수직인, RF 로드 (30) 는 하부 전극 (22) 으로의 수정된 RF 신호의 대칭 전달을 제공한다. 플라즈마 챔버 (11) 내의 RF 로드 (30) 의 부분 (44B) 이 수직인, 예를 들어, 수평이 아닌, 벤딩되지 않은, 등의 배향을 가진다는 것을 주의해야 한다. RF 로드 (30) 의 부분 (44B) 의 수직 배향은 하부 전극 (22) 으로의 수정된 RF 신호의 RF 전력의 방해받지 않는 전달을 용이하게 한다. 수정된 RF 신호의 전달은 일부 실시예들에서, RF 로드 (30) 의 중심 축인, 중심 축 (1002) 에 대해 대칭이다. 예를 들어, 중심 축 (1002) 은 RF 로드 (30) 의 중심을 통과한다. 또 다른 예로서, RF 로드 (30) 는 중심 축 (1002) 에 대해 동축이다.
또한, 플라즈마 챔버 (11) 내의 잔여물 재료들, 예를 들어, 에칭 부산물들, 반응물질 가스들, 증착 부산물들, 세정 부산물들, 등, 및/또는 플라즈마의 플로우인, 컨덕턴스는 척 지지 칼럼 (29B) 및 보울 형상의 구조체 (29A) 가 중심 축 (1002) 에 대해 대칭일 때 하부 전극 (22) 주위에서 대칭이다. 예를 들어, 척 지지 칼럼 (29B) 은 중심 축 (1002) 에 대해 동축이고 그리고 보울 형상의 구조체 (29A) 는 중심 축 (1002) 에 대해 동축이다. 추가의 예시를 위해, 중심 축 (1002) 은 척 지지 칼럼 (29B) 의 중심 및 보울 형상의 구조체 (29A) 의 중심을 통과한다.
일부 실시예들에서, 척 지지 칼럼 (29B) 은 하부 전극 (22) 으로부터 진공 펌프들 (20A 및 20B) 로 잔여물 재료들 및/또는 플라즈마의 컨덕턴스를 방해하지 (impede) 않는다. 예를 들어, 플라즈마 챔버 (11) 내에서 벤딩되고 측벽 (14), 예를 들어, 측벽 (14) 의 외부 측면 (21) 을 통해 피팅 등이 되는 캔틸레버 스템 지지부는, 진공 펌프들 (20A 및 20B) 로의 잔여물 재료들의 컨덕턴스를 방해한다. 측면 (21) 은 상단 표면 (19) 및 하단 표면 (17) 에 대해, 90 도, 85 도 내지 95 도, 등의 각을 형성한다. 비교해보면, 수직이고, 플라즈마 챔버 (11) 내에서 벤딩되지 않고, 그리고 측벽 (14) 을 통해 플라즈마 챔버 (11) 내에 피팅되지 않는 척 지지 칼럼 (29B) 은, 진공 펌프들 (20A 및 20B) 로의 잔여물 재료들 및/또는 플라즈마의 컨덕턴스를 저해하지 않는다.
다양한 실시예들에서, 진공 펌프들 (20A 및 20B) 은 중심 축 (1002) 에 대해 대칭으로 배치된다. 예를 들어, 중심 축 (1002) 으로부터 진공 펌프 (20B) 의 거리만큼 중심 축 (1002) 으로부터 동일한 거리에 진공 펌프 (20A) 가 위치된다. 또 다른 예로서, 중심 축 (1002) 이 진공 펌프들 (20A 및 20B) 을 포함하는 볼륨의 중심을 통과하도록 진공 펌프들 (20A 및 20B) 이 중심 축 (1002) 에 대해 동심원으로 위치된다. 일부 실시예들에서, 진공 펌프 (20A) 는 중심 축 (1002) 으로부터 일정 거리에 위치되고 그리고 거리는 중심 축 (1002) 으로부터 진공 펌프 (20B) 의 거리의 미리 결정된 문턱값 내에 있다. 진공 펌프들 (20A 및 20B) 의 대칭 배열은 중심 축 (1002) 에 대해 대칭적인 잔여물 재료들 및/또는 플라즈마의 컨덕턴스를 달성하는 것을 용이하게 한다.
다양한 실시예들에서, 배플 (18A) 은 플라즈마 챔버 (11) 로부터 진공 펌프 (20A) 로의 플라즈마 및/또는 잔여물 재료들의 플로우 양을 제어하도록 개구 (27A) 를 개방하거나 폐쇄한다. 예를 들어, 플라즈마 챔버 (11) 로부터 플라즈마 챔버 (11) 외부로의 플로우 양은 배플 (18A) 이 개방될 때 증가하고 그리고 배플 (18A) 이 폐쇄될 때 감소한다. 유사하게, 배플 (18B) 은 플라즈마 챔버 (11) 내부로부터 플라즈마 챔버 (11) 외부로의 플라즈마 및/또는 잔여물 재료들의 플로우 양을 제어하도록 개구 (27B) 를 개방하거나 폐쇄한다. 개구들 (27A 및 27B) 은 전이 플랜지 (16) 내에 형성되고 그리고 플라즈마 챔버 (11) 와 진공 펌프들 (20A 및 20B) 사이에 있다. 일부 실시예들에서, 배플들 (18A 및 18B) 은 중심 축 (1002) 에 대해 대칭으로 위치된다. 예를 들어, 배플들 (18A 및 18B) 은 중심 축 (1002) 으로부터 등거리에 위치된다. 배플들 (18A 및 18B) 은 대칭적인 컨덕턴스를 달성하도록 제어된다.
일부 실시예들에서, 개구들 (27A 및 27B) 은 중심 축 (1002) (도 1a) 에 대해 대칭이다. 예를 들어, 개구들 (27A 및 27B) 양자는 중심 축 (1002) 으로부터 등거리에 위치된다. 3개 이상의 개구들이 복수의 펌프들로의 플로우를 수용하도록 사용되는 다양한 실시예들에서, 모든 개구들은 중심 축 (1002) 에 대해 대칭이다. 예를 들어, 모든 개구들은 중심 축 (1002) 으로부터 등거리에 위치된다. 개구 (27A) 는 진공 펌프 (20A) (도 1a) 와 플라즈마 챔버 (11) 의 인클로저 (25) (도 1a) 사이에 형성되고 그리고 개구 (27B) 는 진공 펌프 (20B) (도 1a) 와 플라즈마 챔버 (11) 의 인클로저 (25) 사이에 형성된다.
RF 복귀 경로는 플라즈마 챔버 (11) 내에 형성된 플라즈마로부터의 RF 복귀 신호에 의해 형성된다. 플라즈마로부터 복귀된 RF 복귀 신호는 유전체 (24), 보울 형상의 구조체 (29A), 척 지지 칼럼 (29B), 및 RF 전송 라인의 RF 시스 (31) 를 통해 임피던스 매칭 네트워크 (43) 로 가로지른다.
일부 실시예들에서, 임의의 다른 수, 예를 들어, 3개, 4개, 등의 진공 펌프들이 진공 펌프들 (20A 및 20B) 대신에 사용되고, 그리고 모든 진공 펌프들은 중심 축 (1002) 에 대해 대칭으로 배치된다. 예를 들어, 3개 펌프들이 사용될 때, 펌프들은 중심 축 (1002) 에 수직인 가상의 수평인 삼각형의 대응하는 정점에 위치되고 그리고 중심 축 (1002) 은 수평인 삼각형의 중심을 통과한다. 진공 펌프들의 대칭 배열은 플라즈마 챔버 (11) 내부로부터 진공 펌프들로의 잔여물 재료들 및/또는 플라즈마의 대칭적인 컨덕턴스를 허용한다.
일부 실시예들에서, 보울 형상의 구조체 (29A) 대신에, 임의의 다른 형상, 예를 들어, 다각형, 정사각형, 등의 구조체가 사용된다.
다양한 실시예들에서, 인클로저 (25) 는 측벽 (14), 상부 전극 어셈블리 (12), 및 전이 플랜지 (16) 에 의해 둘러싸인다. 예를 들어, 인클로저 (25) 는 측벽 (14), 상부 전극 어셈블리 (12), 및 전이 플랜지 (16) 에 의해 둘러싸인 볼륨을 갖는다.
다양한 실시예들에서, 척 지지 칼럼 (29B) 의 부분은 척 지지 칼럼 (29B) 의 또 다른 부분에 대해 비스듬히 놓이지 않는다. 예를 들어, 척 지지 칼럼 (29B) 은 벤딩되지 않고 일직선이다.
몇몇의 실시예들에서, RF 로드 (30) 는 RF 로드 (30) 의 또 다른 부분에 대해 비스듬히 놓이지 않는다. 예를 들어, RF 로드 (30) 는 벤딩되지 않고 일직선이다.
다양한 실시예들에서, 전이 플랜지 (16) 는 플라즈마 챔버 (11) 의 일부이다. 예를 들어, 전이 플랜지 (16) 는 플라즈마 챔버 (11) 의 하단 벽을 형성한다.
다양한 실시예들에서, 중심 축 (1002) 은 플라즈마 챔버 (11) 의 측벽 (14) 으로부터 등거리에 있다. 일부 실시예들에서, 중심 축 (1002) 은 RF 로드 (30) 로부터 또는 척 지지 칼럼 (29B) 으로부터 등거리에 있다. 몇몇의 실시예들에서, 중심 축 (1002) 은 하부 전극 (22) (도 1a) 의 에지로부터 등거리에 있다.
일부 실시예들에서, 플라즈마 챔버 (11) 내의 컨덕턴스는 플라즈마 챔버 (11) 내의 압력을 변화시키도록 변화한다. 예를 들어, 플라즈마 챔버 (11) 의 구역 내의 압력은, 구역 내의 컨덕턴스가 증가할 때 증가한다. 또 다른 예로서, 플라즈마 챔버 (11) 의 구역 내의 압력은, 구역 내의 컨덕턴스가 감소할 때 감소한다. 또 다른 예로서, 플라즈마 챔버 (11) 의 구역 내의 압력은, 구역 내의 컨덕턴스가 균일할 때 균일하다. 또 다른 예로서, 플라즈마 챔버 (11) 의 구역 내의 압력은, 구역 내의 컨덕턴스가 불균일할 때 불균일하다.
도 1b는 전극 (22) 과 보울 형상의 구조체 (29A) 사이에 선택 가능하게 배치되고 그리고 측벽 (14) 과 유전체 (24) 사이에 피팅된 그리드 (50) 를 예시하기 위한 도면이다. 예를 들어, 그리드 (50) 는 커플링 메커니즘을 사용하여 측벽 (14) 에 피팅되고 그리고 커플링 메커니즘을 사용하여 유전체 (24) 에 연결된다. 그리드 (50) 는 실리콘, 또는 전도성 재료, 등으로 이루어진다. 그리드 (50) 는 플라즈마 챔버 (11) 내에서 구역 (1) 으로부터 구역 (2) 으로 플라즈마 및/또는 잔여물 재료들의 컨덕턴스를 제어, 예를 들어, 증가, 감소, 등을 하도록 사용된다. 구역들 (1 및 2) 은 이하에 더 기술된다. 일부 실시예들에서, 그리드 (50) 는 원형이고 그리고 다양한 개구들 (O1 내지 On) 을 갖고, 여기서 n은 0보다 보다 큰 정수이다. 예로서, 개구 각각은 가늘고 길거나 원형이거나 임의의 다른 형상이다. 그리드 (50) 의 다양한 형상들의 개구들이 도 1b에 예시된다. 다양한 형상들이 예시되지만, 그리드 (50) 는 동일한 형상의 개구들을 갖는다. 일부 실시예들에서, 그리드 (50) 는 2개의 상이한 형상들의 개구들을 갖는다.
그리드 (50) 는 또한 플라즈마로부터 척 지지 칼럼 (29B) 으로 RF 복귀 신호를 채널링하도록 (channel) 사용된다. 예를 들어, 그리드 (50) 는 RF 복귀 경로를 형성하도록 플라즈마로부터 척 지지 칼럼 (29B) 으로 RF 복귀 신호를 전달한다.
도 1c는 플라즈마 및/또는 잔여물 재료들의 컨덕턴스에 영향을 주는 다양한 파라미터들 및 플라즈마 챔버 (11) 내의 상이한 컨덕턴스 구역들 (1, 2, 및 3) 을 예시하기 위한 플라즈마 챔버 (11) 의 실시예의 도면이다. 플라즈마 챔버 (11) 는 상이한 사이즈들의 웨이퍼들, 예를 들어, 450 ㎜ (millimeter) 직경의 웨이퍼, 450 ㎜보다 보다 큰 직경을 가진 웨이퍼, 300 ㎜ 내지 450 ㎜의 직경을 가진 웨이퍼, 300 ㎜ 내지 500 ㎜의 직경을 가진 웨이퍼, 300 내지 600 ㎜의 직경을 가진 웨이퍼, 등을 프로세싱하도록 사용된다. 플라즈마 챔버 (11) 내에 배치된 웨이퍼는 W로서 표기된다. 플라즈마 챔버 (11) 는 컨덕턴스가 웨이퍼 레벨, 예를 들어, 웨이퍼가 하부 전극 (22) 상에 배치될 시의 레벨, 하부 전극 (22) 의 상단 표면 (106) 보다 높은 레벨, 등으로 측정되는 구역 (1) 을 갖는다. 구역 (1) 은 상단 표면 (106) 과 상부 전극 어셈블리 (12) 사이에서 연장한다. 플라즈마 및/또는 잔여물 재료들의 컨덕턴스는 구역 (1) 내에서 균일하다. 또한, 플라즈마 챔버 (11) 는 하부 전극 (22) 의 측벽 (102), 플라즈마 챔버 (11) 의 측벽 (14) 의 내측 표면 (104), 하부 전극 (22) 의 상단 표면 (106) 을 통과하는 평면, 및 전이 플랜지 (16) 의 내측 하단 표면 (108) 으로부터 미리 결정된 거리에 있는 미리 결정된 평면에 의해 둘러싸인 구역 (2) 을 갖는다. 전이 플랜지 (16) 는 하나 이상의 펌프들 (P1 내지 P6), 예를 들어, TMP들 (turbo molecular pumps), 터보 펌프들, 진공 펌프들, 등에 인터페이스를 제공한다. 컨덕턴스는 구역 (1) 과 비교하여 구역 (2) 에서 감소한다. 플라즈마 챔버 (11) 는 전이 플랜지 (16) 의 내측 하단 표면 (108) 에서, 예를 들어, 전이 플랜지 (16) 의 내측 하단 표면 (108) 위의 미리 결정된 거리 내에서, 구역 (3) 을 갖는다. 플라즈마 및/또는 잔여물 재료들의 컨덕턴스는 구역 (3) 내에서 균일하다.
플라즈마 및/또는 잔여물 재료들의 컨덕턴스의 컨덕턴스 경로 (130) 는, 구역 (1) 으로부터 구역 (2) 을 통해 구역 (3) 으로의 컨덕턴스의 방향을 갖는다. 컨덕턴스 경로 (130) 는 펌프들 (P1 내지 P6) 이 플라즈마 챔버 (11) 내에서 부분적인 진공을 생성하도록 동작될 때 플라즈마 프로세스 후에 플라즈마 챔버 (11) 로부터 펌프들 (P1 내지 P6) 로의 플라즈마 및/또는 잔여물 재료들의 플로우의 방향을 제공한다.
일부 실시예들에서, 구역 (1) 의 볼륨은 내측 표면 (104) 에 부착된 라이너들의 설계들에 의해 결정되고, 구역 (2) 의 볼륨은 측벽 (14) 의 내측 표면 (104) 의 직경 (110) 및 하부 전극 (22) 의 높이 및 하부 전극 (22) 의 직경 (d하부 전극) 에 의해 결정되고, 그리고 구역 (3) 의 볼륨은 하나 이상의 타입들 및 복수의 하나 이상의 펌프들 및 중심 축 (1002) 에 대한 하나 이상의 펌프들의 레이아웃, 예를 들어, 배열, 등에 의해 결정된다. 라이너들은 플라즈마 챔버 (11) 의 측벽 (14) 에 부착, 예를 들어, 피팅, 볼트 결합, 등이 된다. 일부 실시예들에서, 라이너는 금속 또는 반도체 재료로 이루어진다. 다양한 실시예들에서, 라이너는 에칭 또는 프로세스 입자들로부터 챔버 벽들을 보호하도록 플라즈마 챔버 (11) 내부에 제공되고 그리고 라이너는 필요하다면 세정 및 교체된다.
직경 (110) 의 예들은 32 인치 내지 40 인치 범위의 직경을 포함한다. 예시로서, 직경 (110) 은 35 인치이다. 또 다른 예시로서, 직경 (110) 은 36 인치이다. 구역 (1) 의 직경 (110) 은 300 ㎜ 웨이퍼들을 프로세싱하도록 사용된 플라즈마 챔버의 직경보다 보다 크다. 라이너들이 내측 표면 (104) 에 피팅되는 일부 실시예들에서, 직경 (110) 은 내측 표면 (104) 에 부착된 라이너들 중 일 라이너의 표면으로부터 측벽 (14) 의 반대편 측면 상의 내측 표면 (104) 에 부착된 라이너들 중 또 다른 라이너의 표면으로의 직경임을 주의해야 한다. 예를 들어, 구역 (1) 의 직경은 플라즈마 챔버 (11) 의 내측 표면 (104) 에 부착된 라이너들에 대해 수직인 라인의 길이이다. 라이너들이 사용되지 않는 다양한 실시예들에서, 직경 (110) 은 측벽 (14) 의 내측 표면 (104) 의 직경이다.
일부 실시예들에서, 구역 (2) 은 상단 표면 (106) 을 통과하는 플라즈마로부터 하부 전극 (22) 의 하단 표면 (120) 으로 연장하고 그리고 하부 전극 (22) 과 측벽 (14) 사이에서 연장하는 공간이다. 다양한 실시예들에서, 구역 (3) 은 하단 표면 (120) 과 전이 플랜지 (16) 의 내측 하단 표면 (108) 사이에 위치된다.
다양한 실시예들에서, 구역 (1) 에서, 웨이퍼 (W) 의 상단 표면 보다 컨덕턴스가 높고 그리고 구역 (3) 에서, 펌프들 (P1 내지 P6) 보다 컨덕턴스가 높다.
일부 실시예들에서, 차폐부 또는 그리드, 예를 들어, 그리드 (50), 등은 구역 (2) 을 구역 (3) 으로부터 분리하도록 플라즈마 챔버 (11) 내에 피팅된다. 예를 들어, 차폐부 또는 그리드는 하부 전극 (22) 아래의 측벽 (14) 의 내측 표면 (104) 에 피팅된다.
도 2a는 플라즈마 챔버 (11) 의 내경인 직경 (110), 하부 전극 (22) 의 외경인 직경 (d하부 전극), 및 플라즈마 챔버 (11) 의 높이 (204) 가 플라즈마 챔버 (11) 내의 컨덕턴스를 증가시키도록 얼마나 변화되는지를 예시하기 위한 플라즈마 챔버 (11) 의 블록도이다. 일부 실시예들에서, 높이 (204) 는 상부 전극 어셈블리 (12) (도 1a) 의 높이를 배제하고 그리고 측벽 (14) 의 높이 및 전이 플랜지 (16) 의 높이를 포함한다. 플라즈마 챔버 (11) 의 직경 (110), 직경 (d하부 전극), 및 플라즈마 챔버 (11) 의 높이 (204) 는, 플라즈마 챔버 (11) 내의 컨덕턴스에 영향을 주는 파라미터들의 예들이다. 컨덕턴스는 하부 전극 (22) 의 직경 (d하부 전극) 을 최대화하고, 플라즈마 챔버 (11) 의 직경 (110) 을 최소화하고, 그리고 플라즈마 챔버 (11) 의 높이를 최소화함으로써 증가된다.
일부 실시예들에서, 플라즈마 챔버 (11) 는 300 ㎜ 웨이퍼를 프로세싱하기 위해 플라즈마 챔버를 사용하여 달성되는 컨덕턴스보다 적어도 2.25 배인 컨덕턴스를 달성한다. 예를 들어, 플라즈마 챔버 (11) 의 직경 (110) 은 0.75 미터 내지 1 미터이고 그리고 플라즈마 챔버 (11) 의 측벽 (14) 의 높이는 0.4 미터 내지 0.7 미터이다. 또한, 예에서, 전이 플랜지 (16) 의 높이는 0.2 미터 내지 0.4 미터이다. 또한, 이 예에서, 웨이퍼 (W) 와 하부 전극 (22) 의 에지 사이의 거리 (202) 는 14 인치 내지 30 인치이다.
도 2b는 플라즈마 챔버 (11) 의 실시예의 평면도이다. 잔여물 재료들 및/또는 플라즈마의 컨덕턴스의 레이트, 예를 들어, 속도, 등은, 측벽 (14) 과 하부 전극 (22) 사이에 형성된 채널이 좁을 때 구역 (1) 으로부터 구역 (3) 으로 (도 1c) 증가한다. 하부 전극 (22) 의 폭, 예를 들어, 직경 (d하부 전극), 등이 증가되고 그리고 플라즈마 챔버 (11) 의 폭, 예를 들어, 직경 (110), 등이 감소될 때 채널이 보다 좁아진다. 하부 전극 (22) 의 폭은 하부 전극 (22) 상의 300 ㎜ 웨이퍼와 비교하여 450 ㎜ 웨이퍼의 배치를 용이하게 하도록 증가된다. 또한, 높이 (204) (도 2a) 가 보다 짧아지기 때문에, 잔여물 재료들 및/또는 플라즈마에 의해 가로질러질 거리는 컨덕턴스의 레이트를 증가시키도록 감소된다.
도 3a는 척 지지 칼럼 (29B) 이 플라즈마 챔버 (11) 내에 삽입되는 방식을 예시하기 위한 도면이다. 척 지지 칼럼 (29B) 은 전이 플랜지 (16) 내에 형성된 개구 (302) 를 통해 플라즈마 챔버 (11) 의 인클로저 (25) (도 1a) 내로 삽입된다. 일부 실시예들에서, 척 지지 칼럼 (29B) 을 장착하기 위한 개구 (302) 는 전이 플랜지 (16) (도 1c) 의 내측 하단 표면 (108) (도 1c) 의 중심에 위치된다. 예를 들어, 개구 (302) 는 플라즈마 챔버 (11) 의 측벽 (14) 내에 형성되지 않는다. 또 다른 예로서, 개구 (302) 의 부분이 측벽 (14) 내에 생성되지 않는다.
다양한 실시예들에서, 각은 보울 형상의 구조체 (29A) (도 1a) 에 커플링하는 척 지지 칼럼 (29B) 의 부분과 플라즈마 챔버 (11) 내의 척 지지 칼럼 (29B) 의 또 다른 부분 사이에서 플라즈마 챔버 (11) 내부에 형성되지 않는다. 또한, 하나 이상의 펌프들에 대한 인터페이스를 제공하는, 전이 플랜지 (16) 의 내측 하단 표면 (108) 에서의 컨덕턴스의 균일성은, 플라즈마 챔버 (11) 내의 척 지지 칼럼 (29B) 이 플라즈마 및/또는 잔여물 재료들의 제거를 간섭하지 않도록 수직일 때 달성된다.
도 3b는 웨이퍼 (W) 의 상단 표면에서의 압력의 균일성을 예시하기 위한 압력 맵 (304) 의 실시예이다. 플라즈마 챔버 (11) 의 하단으로부터 전이 플랜지 (16) 를 통해 척 지지 칼럼 (29B) 을 장착함으로써, 웨이퍼 (W) 에서의 압력의 균일성이 달성되고 그리고 웨이퍼 (W) 위의 미리 결정된 거리, 예를 들어, 1 ㎝, 등에서의 압력의 균일성이 달성된다.
도 3c는 웨이퍼 (W) 위의 미리 결정된 거리에서의 압력의 균일성을 예시하기 위한 압력 맵 (306) 의 실시예이다. 압력 맵 (306) 에 예시된 바와 같이, 웨이퍼 (W) 위의 미리 결정된 거리에서의 최소 및 최대 압력 변동은 0.29 %이다.
도 4는 하나 이상의 펌프들이 플라즈마 챔버 (11) 로부터 플라즈마 프로세스의 플라즈마 및/또는 잔여물들을 제거하도록 사용된다는 것을 예시하기 위한 그래프 (400) 이다. 그래프 (400) 는 챔버 속도 (L/s) (liters/second) 에 대해 펌프 속도 (L/s) 를 플롯팅한다. 챔버 속도는 웨이퍼 (W) 에서의 컨덕턴스이다. 그래프 (400) 에 도시된 바와 같이, 6 kL/s (kiloliter/second) 속도 펌프인 단일의 펌프가 사용되거나 각각 4.5 kL/s 속도 펌프인 2개의 펌프들 또는 각각 3 kL/s 속도 펌프인 2개의 펌프들 또는 각각 2 kL/s 속도 펌프인 3개의 펌프들이, 플라즈마 및/또는 잔여물들을 제거하도록 사용된다. 하나의 큰 6 kL/s 펌프 대신에, 복수의 작은 펌프들이 도 4를 사용하여 예시된 바와 같이 사용된다. 도 4에 예시된 바와 같이 하나 이상의 펌프들을 사용함으로써, 적어도 2.25 배보다 보다 큰 컨덕턴스를 달성하는 목적이 달성된다.
반응물질 가스들이 플라즈마 챔버 (11) 내에서 플라즈마를 생성하거나 플라즈마를 유지하도록 플라즈마 챔버 (11) 에 제공되는 속도는, 플라즈마 챔버 (11) 가 450 ㎜ 웨이퍼를 프로세싱하도록 사용될 때 적어도 2.25 배만큼 증가한다. 속도는 반응물질 가스들이 300 ㎜ 웨이퍼를 프로세싱하기 위해 플라즈마 챔버에 제공되는 속도와 비교할 때 증가한다. 또한, 속도의 증가를 매칭하도록, 플라즈마 챔버 (11) 로부터 플라즈마 챔버 (11) 외부로 잔여물 재료들 및/또는 플라즈마를 펌핑하는 속도는, 300 ㎜ 웨이퍼를 프로세싱하도록 사용된 플라즈마 챔버로부터 잔여물 재료들 및/또는 플라즈마를 제거하도록 사용된 펌프들과 비교하여 적어도 2.25 배만큼 증가된다.
도 5a는 배플이 없는 척 지지 칼럼 (29B) 의 사용을 예시하기 위한 플라즈마 시스템 (10) 의 실시예의 평면도 (500) 이다. 플라즈마 시스템 (10) 의 평면도 (500) 에서, 척 지지 칼럼 (29B), 개구들 (27A 및 27B), 하부 전극 (22), 및 플라즈마 챔버 (11) 의 측벽 (14) 이 도시된다. 배플, 예를 들어, 플레이트, 금속 플레이트, 등은, 평면도 (500) 를 사용하여 예시된 플라즈마 챔버 (11) 의 실시예에서 사용되지 않는다.
도 5b는 배플이 플라즈마 시스템 (10) 에서 사용되지 않을 때 (도 1a) 웨이퍼 (W) 의 상단 표면에서의 압력 플롯 (524A) 의 실시예이다. 또한, 도 5c는 배플이 플라즈마 시스템 (10) 에서 사용되지 않을 때 (도 1a) 웨이퍼 (W) 의 상단 표면으로부터 미리 결정된 거리에서의 또 다른 압력 플롯 (524B) 의 실시예이다. 압력 플롯들 (524A 및 524B) 은 이하에 더 설명된다.
도 5d는 배플들 (18A 및 18B) 이 사용되는 플라즈마 시스템 (10) 의 실시예의 또 다른 평면도 (510) 이다. 예를 들어, 배플들 (18A 및 18B) 은 구역 (3) 내에, 예를 들어, 전이 플랜지 (16) (도 1c) 의 내측 하단 표면 (108) (도 1c) 에 인접하게 배치된다. 배플 (18A) 은 개구 (27A) 를 커버하거나 개방하도록 수직 방향으로 이동되고 그리고 배플 (18B) 은 개구 (27B) 를 커버하거나 개방하도록 수직 방향으로 이동된다. 배플들 (18A 및 18B) 은 플라즈마 챔버 (11) 내의 압력을 더 제어하도록 개구들 (27A 및 27B) 의 개방 및 폐쇄를 제어하기 위해서 동작, 예를 들어, 위로 이동 또는 아래로 이동, 등이 된다. 예를 들어, 본 명세서에 기술된 바와 같이, 배플 각각은 배플의 수직 이동을 제어하도록 모터 구동 어셈블리 및 연결 메커니즘을 통해 제어된다. 배플이 제어되는 방식의 추가의 기술은 이하에 제공된다. 배플은 플라즈마 챔버 (11) 내의 컨덕턴스를 더 제어하고 그리고 플라즈마 챔버 (11) 의 구역 (3) 내의 컨덕턴스의 대칭을 달성하도록 개구들 (27A 및 27B) 의 개방 및 폐쇄를 제어하기 위해서 위 또는 아래로 이동된다. 예를 들어, 컨덕턴스는 배플들 (18A 및 18B) 이 개구들 (27A 및 27B) 을 개방하도록 수직 방향으로 위로 이동될 때 증가되고 그리고 배플들 (18A 및 18B) 이 개구들 (27A 및 27B) 을 폐쇄하도록 수직 방향으로 아래로 이동될 때 감소된다. 일부 실시예들에서, 배플은 공기 및/또는 다른 재료들이 플라즈마 챔버 (11) 외부로부터 플라즈마 챔버 (11) 내로 흐르는 것을 방지하도록 밸브로서 역할을 한다.
배플들 (18A 및 18B) 은 다각형, 예를 들어, 직사각형, 정사각형, 등의 형상이고 펌프들 (20A 및 20B) 각각 위에 위치된다. 일부 실시예들에서, 배플들 (18A 및 18B) 은 또 다른 형상, 예를 들어, 원형, 타원형, 등이다.
도 5e는 배플들 (18A 및 18B) 이 플라즈마 시스템 (10) (도 1a) 에서 사용될 때 웨이퍼 (W) 의 상단 표면에서의 압력 플롯 (526A) 의 실시예이다. 또한, 도 5f는 배플들 (18A 및 18B) 이 플라즈마 시스템 (10) (도 1a) 에서 사용될 때 웨이퍼 (W) 의 상단 표면으로부터 미리 결정된 거리에서의 또 다른 압력 플롯 (526B) 의 실시예이다. 압력 플롯들 (526A 및 526B) 은 이하에 더 설명된다.
도 5g는 배플 (522A) 및 배플 (522B) 이 사용되는 플라즈마 시스템 (10) 의 또 다른 평면도 (520) 이다. 배플 (522A 및 522B) 각각은 초승달 형상이고 그리고 전이 플랜지 (16) 의 내측 하단 표면 (108) 의 외측 에지에 위치된다. 예를 들어, 배플들 (522A 및 522B) 은 전이 플랜지 (16) 와 펌프들 (20A 및 20B) 사이의 개구들 (27A 및 27B) 의 부분을 제어하도록 전이 플랜지 (16) 의 내측 하단 표면 (108) 에 인접하게 그리고 측벽 (14) 의 내측 표면 (104) (도 1c) 에 인접하게 위치된다. 배플들 (522A 및 522B) 은 플라즈마 챔버 (11) 내의 컨덕턴스를 제어하도록, 예들이 본 명세서에 제공되는 모터 구동 어셈블리, 및 예들이 본 명세서에 제공되는 연결 메커니즘을 통해 제어된다. 배플들 (18A, 18B) (도 5d), (522A, 및 522B) 의 사용은 플라즈마 챔버 (11) 내의 압력 제어를 달성하도록 고 컨덕턴스로 증가된 플로우 대칭도의 달성을 용이하게 한다.
다양한 실시예들에서, 모든 배플들 (18A, 18B, 522A, 및 522B) 이 플라즈마 챔버 (11) 내에서 사용된다. 예를 들어, 모든 배플들 (18A, 18B, 522A, 및 522B) 은 전이 플랜지 (16) 의 내측 하단 표면 (108) 에 인접하게 배치된다.
도 5h는 배플들 (522A 및 522B) 이 플라즈마 시스템 (10) (도 1a) 에서 사용될 때 웨이퍼 (W) 의 상단 표면에서의 압력 플롯 (528A) 의 실시예이다. 또한, 도 5i는 배플들 (522A 및 522B) 이 플라즈마 시스템 (10) (도 1a) 에서 사용될 때 웨이퍼 (W) 의 상단 표면으로부터 미리 결정된 거리에서의 또 다른 압력 플롯 (528B) 의 실시예이다.
압력 맵들 (524A, 524B, 526A, 및 526B) 로부터 알 수 있는 바와 같이, 웨이퍼 (W), 예를 들어, 웨이퍼 (W) 의 상단 표면 상, 등에서의 압력은 배플들 (18A 및 18B) 이 사용되지 않을 때보다 배플들 (18A 및 18B) 을 사용할 때 보다 균일하고, 예를 들어, 대칭, 등이 된다. 또한, 압력 맵들 (526A, 526B, 528A, 및 528B) 로부터 알 수 있는 바와 같이, 웨이퍼 (W) 위의 미리 결정된 거리에서의 압력은 배플들 (522A 및 522B) 이 사용되지 않을 때와 비교하여 그리고 배플들 (18A 및 18B) 이 플라즈마 챔버 (11) 내에서 사용될 때와 비교하여 배플들 (522A 및 522B) 을 사용할 때 보다 균일하다.
웨이퍼 (W) 에서 또는 웨이퍼 (W) 위의 미리 결정된 거리에서의 압력의 균일성의 증가는 웨이퍼 (W) 의 프로세싱의 균일성을 제공한다. 예를 들어, 웨이퍼 (W) 는 배플들 (18A 및 18B) 이 사용되지 않을 때와 비교하여 배플들 (18A 및 18B) 이 사용될 때 보다 균일하게 에칭된다. 또 다른 예로서, 웨이퍼 (W) 는 배플들 (522A 및 522B) 이 사용되지 않을 때와 비교하여 배플들 (522A 및 522B) 이 사용될 때 보다 균일하게 에칭된다.
도 5j는 프로세서 (560) 를 사용하는, 배플 (550) 의 제어를 예시하기 위한 배플 (550) 의 등축도이다. 배플 (550) 은 배플 (18A) 또는 배플 (18B) (도 1a) 의 예이다. 유사하게, 전이 플랜지 (16) 의 내측 하단 표면 (108) 내의 개구 (552) 는 개구 (27A) 또는 개구 (27B) (도 5a) 의 예이다. 프로세서 (560) 는 호스트 컴퓨터 시스템의 프로세서이다. 프로세서 (560) 는 연결 메커니즘 (564) 을 통해 배플 (550) 에 연결되는 모터 구동 어셈블리 (562) 에 연결된다. 모터 구동 어셈블리 (562) 는 모터 구동 어셈블리 (45) (도 1a) 의 구조와 동일한 구조를 갖는다. 예를 들어, 모터 구동 어셈블리 (562) 는 연결 메커니즘 (564) 에 더 연결되는, 모터에 연결되는 드라이버를 포함한다. 또 다른 예로서, 모터 구동 어셈블리 (562) 의 드라이버는 하나 이상의 트랜지스터들을 포함하고 그리고 드라이브는 모터 구동 어셈블리 (562) 의 모터의 고정자에 대한 커넥터이고 그리고 모터의 회전자는 연결 메커니즘 (564) 에 연결된다. 연결 메커니즘 (564) 의 예들은 하나 이상의 로드들, 또는 기어 메커니즘, 또는 포핏 밸브, 등을 통해 서로 연결되는 하나 이상의 로드들을 포함한다.
배플 (550) 은 이하에 더 기술되는, 펜듈럼 밸브에 의해 전이 플랜지 (16) 아래에서 취해진 공간의 양을 감소시킨다. 예를 들어, 배플 (550) 은 플라즈마 챔버 (11) 외부 및 아래에 위치되는, 펜듈럼 밸브와 비교하여 플라즈마 챔버 (11) 내부에 위치됨으로써 웨이퍼 (W) 의 상단 표면으로부터 진공 펌프들 (20A 및 20B) (도 1a) 로 컨덕턴스의 거리, 예를 들어, 높이, 등을 감소시킨다. 공간의 양의 감소는 컨덕턴스를 증가시킨다.
또한, 배플 (550) 은 플라즈마 챔버 (11) 내의 컨덕턴스를 제어하도록 수직 방향으로 이동하기 위해서 제어된다. 예를 들어, 프로세서 (560) 는 모터 구동 어셈블리 (562) 의 드라이버에 신호를 전송하고 그리고 신호를 수신할 시, 드라이버는 전류 신호를 생성한다. 전류 신호는 미리 결정된 양만큼 회전시키도록 모터 구동 어셈블리 (562) 의 모터에 제공된다. 모터의 회전은 개구 (552) 를 개방시키도록 개구 (552) 로부터 멀어져서 또는 개구 (552) 를 폐쇄하도록 개구 (552) 를 향하여, 수직 방향으로 배플 (550) 을 이동시키도록 연결 메커니즘 (564) 을 회전시킨다.
도 6은 포핏 (poppet) 밸브들을 사용하거나 사용하지 않고 플라즈마 챔버 (11) (도 1a) 로부터 플라즈마 챔버 (11) 외부로 가스, 예를 들어, 아르곤, 등의 컨덕턴스의 제어량을 예시하기 위한 그래프 (600) 의 실시예이다. 그래프 (600) 는 전이 플랜지 (16) 내의 개구들 (27A 및 27B) (도 5a) 을 통한 가스의 플로우에 대해 웨이퍼 (W) 의 상단 표면에서의 압력을 플롯팅한다. 플롯 C1은 포핏 밸브들이 배플들 (18A 및 18B) (도 5d) 을 제어하도록 사용되지 않고 배플들 (522A 및 522B) (도 5g) 을 제어하도록 사용되지 않을 때를 플롯팅한다. 또한, 플롯 C2는 포핏 밸브들이 사용될 때를 플롯팅한다. 플롯 C3은 2.25 배의 컨덕턴스가 플라즈마 챔버 (11) 를 사용하여 달성된다는 것을 예시하기 위한 타깃 플롯이다. 컨덕턴스는 300 ㎜ 웨이퍼에 대해 플라즈마 챔버를 사용하여 달성되는 컨덕턴스에 비교하여 2.25 배이다.
플롯들 (C1 및 C2) 로부터 예시된 바와 같이, 타깃 플롯 C3에서 도시된 압력량과 동일한 압력량을 웨이퍼 (W) 의 평면에서 달성하도록, 잔여물 재료들 및/또는 플라즈마의 컨덕턴스를 변화시킬 여지가 더 있다.
도 7a는 척 지지 칼럼 (29B) 이 측벽 (14) (도 1a) 에 의해 둘러싸인 인클로저 (25) (도 1a) 내에 있도록 전이 플랜지 (16) 의 내측 하단 표면 (108) 내에 형성된 개구 (302) (도 3) 를 통해 삽입된다는 것을 예시하기 위한 플라즈마 시스템 (700) 의 실시예의 등축도이다. 이것은 척 지지 칼럼 (29B) 이 플라즈마 챔버 (11) 내에 수직으로 장착되는 방법이다. 보울 형상의 구조체 (29A) 는 플라즈마 시스템 (700) 의 상단으로부터 척 지지 칼럼 (29B) 에 피팅된다.
도 7b는 척 지지 칼럼 (29B) 주위의 측벽 (14) 의 피팅 및 보울 형상의 구조체 (29A) 의 피팅을 예시하기 위한 플라즈마 시스템 (702) 의 실시예의 측면도이다. 척 지지 칼럼 (29B) 은 개구 (302) (도 3) 를 통해 삽입되고 그리고 보울 형상의 구조체 (29A) 는 척 지지 칼럼 (29B) 에 피팅되도록 척 지지 칼럼 (29B) 의 상단 상에 배치된다. 이어서 측벽 (14) 은 보울 형상의 구조체 (29A) 주위에 배치되고 그리고 전이 플랜지 (16) 에 피팅된다.
일부 실시예들에서, 하부 전극 (22) 및 척 지지 칼럼 (29B) 은 서로에 대해, 전이 플랜지 (16) 에 대해, 그리고 측벽 (14) 에 대해 동심원이다. 하부 전극 (22), 척 지지 칼럼 (29B), 전이 플랜지 (16), 및 측벽 (14) 의 동심원 배열은 RF 경로 대칭을 개선하고 플라즈마 챔버 (11) 내의 컨덕턴스를 증가시킨다.
도 7c는 측벽 (14) 에 대한 상부 전극 시스템 (12) 의 피팅을 예시하기 위한 플라즈마 시스템 (704) 의 실시예의 측면도이다. 측벽 (14) 이 전이 플랜지 (16) 에 피팅된다면, 복수의 상부 전극 컴포넌트들, 예를 들어, 가스 피드, 상부 전극, 상부 전극 연장부, 복수의 유전체 링들, 복수의 전극 코일들, 패러데이 차폐부, 등을 포함하는 상부 전극 어셈블리 (12) 는, 플라즈마 챔버 (11) 를 형성하도록 측벽 (14) 의 상단 표면 (19) 에 피팅, 예를 들어, 볼트 결합, 부착, 등이 된다.
상부 전극 어셈블리 (12) 는 CCP (capacitively coupled plasma) 챔버 또는 TCP (transformer coupled plasma) 챔버이도록 플라즈마 챔버 (11) 를 규정한다는 것이 주의되어야 한다. 예를 들어, 동일한 측벽 (14) 은 상부 용량 전극 또는 상부 유도 전극과 피팅된다. 또 다른 예로서, 동일한 측벽 (14) 은 컨덕터 에칭 또는 유전체 에칭을 수행하도록 상부 전극 어셈블리 (12) 에 피팅된다.
펌프 (20A) 와 전이 플랜지 (16) 사이에, 펜듈럼 밸브 (722A) 가 위치된다. 또한, 펌프 (20B) 와 전이 플랜지 (16) 사이에, 펜듈럼 밸브 (722B) 가 위치된다. 일부 실시예들에서, 펜듈럼 밸브 (722A 및 722B) 각각은 플라즈마 프로세스의 플라즈마 및/또는 잔여물 재료들이 플라즈마 챔버 (11) 의 인클로저 (25) 내로 다시 흐르는 것을 방지한다. 예를 들어, 펜듈럼 밸브 (722A 및 722B) 각각은 펌프들 (20A 또는 20B) 중 대응하는 하나가 동작하지 않을 때 폐쇄되고 그리고 대응하는 펌프 (20A 또는 20B) 가 동작할 때 개방된다.
일부 실시예들에서, 펜듈럼 밸브들 (722A 및 722B) 은 중심 축 (1002) 에 대해 대칭으로 위치된다. 예를 들어, 펜듈럼 밸브 (722A) 는 펜듈럼 밸브 (722B) 의 거리와 동일한 거리에 중심 축 (1002) 으로부터 위치된다.
일부 실시예들에서, 300 ㎜ 초과의 직경의 웨이퍼 (W), 예를 들어, 300 ㎜ 내지 450 ㎜의 웨이퍼, 450 ㎜ 웨이퍼, 등을 프로세싱, 예를 들어, 웨이퍼 상부에 장착된 재료들을 에칭, 웨이퍼 상부에 재료들 증착, 웨이퍼 세정, 등을 위해 하부 전극 (22) 에 제공된 수정된 RF 신호의 전력량은 300 ㎜ 웨이퍼를 프로세싱하기 위해 제공된 전력량보다 보다 많다는 것이 주의되어야 한다. 전력은 하나 이상의 RF 생성기들 (51) (도 1a) 에 의해 생성된다.
또한, 다양한 실시예들에서, 300 ㎜ 초과의 직경의 웨이퍼 (W) 를 프로세싱하기 위한 플라즈마 챔버 (11) 의 인클로저 (25) (도 1a) 의 볼륨은, 300 ㎜ 웨이퍼를 프로세싱하기 위해 제공된 볼륨보다 예를 들어, 3 배, 2.5 배, 3.3 배, 4 배, 등만큼 보다 크다. 또한, 일부 실시예들에서, 300 ㎜ 초과의 직경의 웨이퍼 (W) 를 프로세싱하는 것과 연관된 플로우 레이트는, 300 ㎜ 웨이퍼를 프로세싱하기 위한 플로우 레이트보다 예를 들어, 2 배 내지 3 배 범위의 배수, 등만큼 보다 크다. 예를 들어, 킬로미터/초로 측정된, 결합된 용량을 가진 하나 이상의 펌프들이 웨이퍼 (W) 를 프로세싱하는 동안 사용된다. 결합된 용량은 300 ㎜ 웨이퍼가 프로세싱되는 플라즈마 챔버 내의 컨덕턴스를 제어하기 위해 사용된 하나 이상의 펌프들의 결합된 용량보다 보다 큰, 배수, 예를 들어, 2 배, 3 배, 2 배 내지 3 배 범위의 배수 등이다.
도 8a는 측벽 (14) 의 실시예의 등축도이다. 플라즈마 챔버 (11) 의 직경 (110) 은 측벽 (14) 의 내측 표면 (104) 의 내경이다. 측벽 (14) 은 내측 표면 (104) 및 외측 표면 (21) 을 갖는다.
일부 실시예들에서, 원형 단면 대신에, 측벽 (14) 의 내측 표면 (104) 은 또 다른 단면 형상, 예를 들어, 타원형, 다각형, 등을 갖는다.
도 8b는 전이 플랜지 (16) 의 실시예의 등축도이다. 전이 플랜지 (16) 는 전이 플랜지 (16) 의 상단 표면 (810) 과 비교하여 보다 저 레벨에 있는 내측 하단 표면 (108) 을 갖는다. 상단 표면 (810) 은 측벽 (14) 의 하단 표면 (17) (도 1a) 과 피팅된다. 개구들 (302, 27A, 및 27B) 은 내측 하단 표면 (108) 내에 형성된다. 또한, 다양한 실시예들에서, 개구 (302) 는 중심 축 (1002) 에 대해 동심원이다. 예를 들어, 중심 축 (1002) 은 개구 (302) 의 중심을 통과한다. 또 다른 예로서, 개구 (302) 는 중심 축 (1002) 과 동축이다.
도 9는 측벽 (14) 및 전이 플랜지 (16) 의 등축도이다. 스풀 (spool) 플랜지 (902) 는 펜듈럼 밸브 (722B) 와 전이 플랜지 (16) 사이에 포함된다. 유사하게, 일부 실시예들에서, 스풀 플랜지는 펜듈럼 밸브 (722A) 와 전이 플랜지 (16) 사이에 위치된다. 스풀 플랜지는 전이 플랜지 (16) 에 펜듈럼 밸브를 부착하도록 사용된다. 다양한 실시예들에서, 스풀 플랜지는 전이 플랜지 (16) 에 펜듈럼 밸브를 부착하도록 사용되지 않는다.
측벽 (14) 의 외측 표면 (21) 은 정사각형 단면을 갖고 그리고 측벽 (14) 의 내측 표면 (104) 은 원형 단면을 갖는다. 일부 실시예들에서, 내측 표면 (104) 및 외측 표면 (21) 양자는 동일한 단면 형상, 예를 들어, 정사각형 또는 원형 또는 다각형, 등을 갖는다.
도 10a는 측벽 (14) 을 통해 장착된 캔틸레버 스템 지지부에 대해 플라즈마 챔버 (11) 의 하단 부분으로부터 플라즈마 챔버 (11) 내로 수직으로 장착되는 척 지지 칼럼 (29B) 의 실시예를 예시하도록 사용된 도면이다. 도시된 바와 같이, 척 지지 칼럼 (29B) 은 일직선이고 벤딩되지 않는다. 또한, 척 지지 칼럼 (29B) 을 사용하여, 플라즈마의 대칭적인 컨덕턴스가 플라즈마 챔버 (11) 내에서 달성된다. 또한, 에칭 레이트 균일성은 캔틸레버 스템 지지부와 비교하여 척 지지 칼럼 (29B) 이 사용될 때 증가한다. 척 지지 칼럼 (29B) 은 척 지지 칼럼 (29B) 의 중공형 공간 (33) (도 1a) 내에 RF 로드 (30) (도 1a) 를 포함한다. 또한, 일부 실시예들에서, RF 로드 (30) 는 척 지지 칼럼 (29B) 및 중심 축 (1002) 에 대해 동축이다. 다양한 실시예들에서, RF 로드 (30) 는 RF 로드 (30) 의 부분들 사이에 각을 형성하도록 벤딩되지 않는다. 또한, RF 로드 (30) 는 플라즈마 챔버 (11) 의 측벽 (14) 을 통해 플라즈마 챔버 (11) 내에 삽입되지 않는다. 척 지지 칼럼 (29B) 은 불균일한 복귀 경로들로부터 스큐들 (skews) 을 감소, 예를 들어, 제거, 등을 하도록 플라즈마 챔버 (11) 의 중심 축 (1002) 에 대한 RF 복귀 경로 대칭을 제공한다.
도 10b는 중심 축 (1002) 에 대해 수직으로 대칭적인 척 지지 칼럼 (29B) 을 사용하여, 에칭 레이트가 캔틸레버 스템 지지부와 비교할 때 보다 균일하다는 것을 예시하기 위한 그래프 (1003) 이다. 그래프 (1003) 는 하부 전극 (22) 의 위치에 대해 에칭 레이트를 플롯팅한다. 척 지지 칼럼 (29B) 에 대응하는 파선 플롯 (1004) 에 의해 도시된 바와 같이, 에칭 레이트는 캔틸레버 스템 지지부를 사용하여 달성된 에칭 레이트와 비교하여 하부 전극 (22) 에 대해 대칭이다. 캔틸레버 스템 지지부를 사용하여 달성된 에칭 레이트는 실선 플롯 (1006) 을 사용하여 예시된다.
도 11은 대칭적인 RF 공급 경로 (1106A) 및 대칭적인 RF 복귀 경로 (1106B) 를 예시하기 위한 플라즈마 시스템 (1102) 의 실시예의 도면이다. 플라즈마 시스템 (1102) 은 플라즈마 챔버 (11), 척 지지 칼럼 (29B), 하나 이상의 RF 생성기들 (51), 및 호스트 컴퓨터 시스템 (1108) 을 포함한다. 호스트 컴퓨터 시스템 (1108) 의 예들은 데스크탑 컴퓨터, 랩탑 컴퓨터, 스마트 폰, 등을 포함한다.
하나 이상의 RF 생성기들 (51) 은 호스트 컴퓨터 시스템 (1108) 에 의해 제어된다. 예를 들어, 하나 이상의 RF 생성기들 (51) 은 호스트 컴퓨터 시스템 (1108) 의 프로세서 (560) 로부터 주파수 레벨들 및 전력 레벨들을 수신한다. 하나 이상의 RF 생성기들 (51) 은 대응하는 하나 이상의 주파수들 및 대응하는 하나 이상의 전력량들을 가진 대응하는 하나 이상의 RF 신호들을 생성하고, 그리고 하나 이상의 RF 신호들을 임피던스 매칭 네트워크 (43) 에 제공한다. 임피던스 매칭 네트워크 (43) 는 임피던스 매칭 네트워크 (43) 에 의해 수신된 대응하는 하나 이상의 RF 신호들로부터 수정된 RF 신호를 생성하도록, 소스, 예를 들어, 하나 이상의 RF 생성기들 (51), 임피던스 매칭 네트워크 (43) 에 하나 이상의 RF 생성기들 (51) 을 커플링하는 대응하는 하나 이상의 RF 케이블들, 등의 임피던스와, 부하, 예를 들어, RF 전송 라인, 플라즈마 챔버 (11), 등의 임피던스를 매칭한다.
수정된 RF 신호는 플라즈마 챔버 (11) 내의 플라즈마를 스트라이킹하고 그리고/또는 유지하도록 임피던스 매칭 네트워크 (43) 로부터 하부 전극 (22) 으로 제공된다. 플라즈마는 프로세스 가스들이 플라즈마 챔버 (11) 에 공급될 때 스트라이킹 그리고/또는 유지된다. 프로세스 가스들의 예들은 O2와 같은 산소-함유 가스를 포함한다. 프로세스 가스의 다른 예들은 불소-함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 육불화황 (SF6), 헥사플루오로에탄 (C2F6), 등을 포함한다.
수정된 RF 신호는 RF 로드 (28), RF 로드 (30), 및 하부 전극 (22) 을 포함하는 RF 공급 경로 (1106A) 를 통해 공급된다. 또한, 플라즈마 챔버 (11) 내에서 플라즈마로부터 생성되는 복귀 RF 신호는, 임피던스 매칭 네트워크 (43) 에 도달하도록, 하부 전극 (22) 을 지지하는 보울 형상의 구조체 (29A), 보울 형상의 구조체 (29A) 를 지지하는 척 지지 칼럼 (29B), 및 RF 시스 (31) 를 포함하는 복귀 RF 경로 (1106B) 를 통과한다. RF 경로들 (1106A 및 1106B) 은 플라즈마 챔버 (1102) 내의 컨덕턴스 및 컨덕턴스의 균일성을 개선하도록 중심 축 (1002) 에 대해 대칭이다.
유체 라인들 (1120A 및 1120B) 은 하부 전극 (22) 을 가열하도록 유체를 가열 유체를 공급하거나 하부 전극 (22) 을 냉각하도록 냉각 유체를 공급하기 위해 RF 로드 (30) 내의 중공형 공간 (1105) 을 통과한다. 중공형 공간 (1105) 은 RF 로드 (30) 에 의해 둘러싸인다. 또한, 가스 라인 (1122) 은 하나 이상의 가스들, 예를 들어, 냉각 가스, 헬륨 가스, 등을 하나 이상의 가스 유입부들, 예를 들어, 하부 전극 (22) 을 냉각하도록 하부 전극 (22) 내에 형성된, 하부 전극 (22), 등 내로 진입하기 위한 가스에 대한 슬롯들에 공급하기 위해 RF 로드 (30) 의 중공형 공간 (1105) 내에 위치된다. 다양한 실시예들에서, 퍼지 가스 라인은 플라즈마 챔버 (11) 로부터 하나 이상의 가스들을 퍼지하기 위해 RF 로드 (30) 의 중공형 공간 (1105) 내에 위치된다.
일부 실시예들에서, 척 지지 칼럼 (29B) 의 측면 대신에, 도시된 바와 같이, 임피던스 매칭 네트워크 (43) 가 플라즈마 챔버 (11) 내의 컨덕턴스의 균일성을 증가시키도록 RF 경로들 (1106A 및 1106B) 에 대한 추가의 대칭을 제공하기 위해서 척 지지 칼럼 (29B) 의 하단 부분에 위치된다. 예를 들어, 임피던스 매칭 네트워크 (43) 는 수직으로, 예를 들어, 바로 RF 로드 (30) 아래에 위치된다. 또 다른 예로서, 임피던스 매칭 네트워크 (43) 는 RF 로드 (30) 아래에 위치되고 그리고 임피던스 매칭 네트워크 (43) 의 하우징은 중심 축 (1002) 에 대해 동심원이다. 또 다른 예로서, 임피던스 매칭 네트워크 (43) 는 RF 로드 (30) 아래에 수직으로 RF 로드 (30) 의 하단 단부에 연결된다. 예시를 위해, 임피던스 매칭 네트워크 (43) 는 척 지지 칼럼 (29B) 의 중공형 공간 (33) 내에 위치되고 그리고 RF 로드 (30) 에 연결된다. RF 로드 (30) 의 상단 단부는 하부 전극 (22) 에 연결되고 그리고 RF 로드 (30) 의 하단 단부의 반대편에 위치된다.
다양한 실시예들에서, 가스 라인 (1122) 및 유체 라인들 (1120A 및 1120B) 에 더하여, 공급 및/또는 수용 라인들이 RF 로드 (30) 의 중공형 공간 (1105) 내에 위치된다. 예를 들어, 하부 전극 (22) 의 상단 표면 (106) 으로부터 웨이퍼 (W) 를 상승시키도록 대응하는 하나 이상의 리프트 핀들을 제어하기 위한 하나 이상의 공압식 공급 라인들, 예를 들어, 리프트 로드들, 등이, RF 로드 (30) 의 중공형 공간 (1105) 내에 제공된다. 또 다른 예로서, 써모커플에 의해 측정된 하부 전극 (22) 의 온도를 센싱하기 위한 하나 이상의 직류 (DC) 라인들, 예를 들어, 컨덕터들, 등이, RF 로드 (30) 의 중공형 공간 (1105) 내에 제공된다. 써모커플은 하부 전극 (22) 에 근접하여 배치되고, 예를 들어, 하부 전극 (22) 으로부터 미리 결정된 거리 내에 있고, 하부 전극 (22) 을 터치 등을 한다. 또 다른 예로서, 하부 전극 (22) 내에서 히터들, 예를 들어, 레지스터들, 등에 AC 전력을 제공하기 위한 하나 이상의 AC 라인들, 예를 들어, 컨덕터들, 등이, RF 로드 (30) 의 중공형 공간 (1105) 내에 제공된다. RF 로드의 중공형 공간 (1105) 내의 공급 및 수용 라인들, 가스 라인 (1122), 퍼지 가스 라인, 및 유체 라인들 (1120A 및 1120B) 의 위치는, 플라즈마 챔버 (11) 내의 압력 및 컨덕턴스의 대칭의 달성을 용이하게 한다.
일부 실시예들에서, 유체 라인들 (1120A 및 1120B) 은 중심 축 (1002) 으로부터 등거리에 있다. 다양한 실시예들에서, 가스 라인 (1122) 은 중심 축 (1002) 에 대해 동심원이다. 몇몇의 실시예들에서, 모든 공급 및 수용 라인들은 중심 축 (1002) 에 대해 대칭으로 위치된다. 예를 들어, 웨이퍼 (W) 를 상승 또는 하강시키기 위한 공압식 라인들은 중심 축 (1002) 으로부터 등거리에 위치된다. 또 다른 예로서, DC 라인들은 중심 축 (1002) 으로부터 등거리에 위치된다. 또 다른 예로서, AC 라인들은 중심 축 (1002) 으로부터 등거리에 위치된다.
도 12는 하부 전극 (22) 상으로의 웨이퍼 (W) 의 로딩 동안 하부 전극 (22) 의 이송 포지션을 예시하기 위한 플라즈마 시스템 (1102) 의 실시예의 도면이다. 웨이퍼 (W) 는 플라즈마 챔버 (11) 의 측벽 (14) 내의 슬롯 (1702) 을 통해 하부 전극 (22) 상에 로딩된다. 하부 전극 (22) 의 위치는 도 13에서 이하에 예시된 하부 전극 (22) 의 위치보다 보다 낮다. 예를 들어, 하부 전극 (22) 과 상부 전극 어셈블리 (12) 사이의 갭 (1204) 은, 웨이퍼 (W) 가 플라즈마 챔버 (11) 내에서 프로세싱될 때의 위치와 비교하여 웨이퍼 (W) 가 플라즈마 챔버 (11) 내로 로딩되는 이송 포지션 동안 보다 크다.
고정된 지지부 (1202), 예를 들어, 금속으로 이루어진 브래킷 (bracket), 등은 플라즈마 챔버 (11) 를 지지한다. 예를 들어, 고정된 지지부 (1202) 가 플라즈마 챔버 (11) 를 지지하기 위해서 전이 플랜지 (16) 에 인접하도록 고정된 지지부 (1202) 는 전이 플랜지 (16) 에 피팅된다. 선형 레일 (47) 은 고정된 지지부 (1202) 의 에지 (E1) 에 부착, 예를 들어, 피팅, 볼트 결합, 등이 된다. 예를 들어, 선형 레일 (47) 은 전이 플랜지 (16) 가 부착되는 고정된 지지부 (1202) 의 에지 (E2) 에 대해 비스듬히 놓인 고정된 지지부 (1202) 의 에지 (E1) 에 부착된다.
프로세서 (560) 는 모터 구동 어셈블리 (45) 의 드라이버로 제어 신호를 전송한다. 제어 신호를 수신할 시에, 드라이버는 모터의 고정자에 제공되는, 전류 신호를 생성한다. 고정자는 연결 메커니즘 (53) 을 회전 그리고/또는 이동시키도록 모터의 회전자를 회전시키는 전기장을 생성한다. 연결 메커니즘 (53) 의 회전 및/또는 이동은 수직 방향으로 고정된 지지부 (1202) 에 대향하여 슬라이딩 또는 롤링하도록 수직 방향으로 선형 레일 (47) 을 이동시킨다. 예를 들어, 선형 레일 (47) 은 에지 (E1) 에 대해 슬라이딩 또는 롤링한다. 선형 레일 (47) 의 슬라이딩 또는 롤링은 선형 레일 (47) 에 부착, 예를 들어, 피팅, 볼트 결합, 등이 되는 척 지지 칼럼 (29B) 을 수직 방향으로 이동시킨다. 또한, 선형 레일 (47) 의 슬라이딩 또는 롤링은 컴포넌트들, 예를 들어, RF 로드 (30), 유체 공급 라인들 (1120A 및 1120B), 퍼지 가스 라인, 가스 라인 (1122), 공급 및/또는 수용 라인들 (도 11), 등을 수직 방향으로 이동시킨다. 척 지지 칼럼 (29B) 의 이동은 척 지지 칼럼 (29B) 에 부착된 보울 형상의 구조체 (29A) 를 이동시킨다. 보울 형상의 구조체 (29A) 상에서 지지되는 하부 전극 (22) 은, 하부 전극 (22) 과 상부 전극 어셈블리 (12) 사이의 갭 (1204) 의 양을 변화시키도록 보울 형상의 구조체 (29A) 의 이동과 함께 수직 방향으로 이동한다.
선형 레일 (47) 의 슬라이딩 또는 롤링은 고정된 지지부 (1202) 가 전이 플랜지 (16) 와 함께 고정될 때 그리고 측벽 (14) 이 고정된 위치에 있을 때 발생한다는 것이 주의되어야 한다. 예를 들어, 선형 레일 (47) 은 고정된 지지부 (1202) 및 측벽 (14) 에 대해 수직 방향으로 이동한다.
도 13은 프로세싱 동안 하부 전극 (22) 의 위치, 예를 들어, 웨이퍼 (W) 의 프로세스 포지션, 등을 예시하기 위한 플라즈마 시스템 (1102) 의 실시예의 도면이다. 하부 전극 (22) 의 위치는 웨이퍼 (W) 가 플라즈마 챔버 (11) 내로 이송될 때 도 12에 예시된 하부 전극 (22) 의 위치보다 보다 높다. 예를 들어, 하부 전극 (22) 과 상부 전극 어셈블리 (12) 사이의 갭 (1204) 의 양은, 이송 포지션에서 하부 전극 (22) 과 상부 전극 어셈블리 (12) 사이의 갭 (1204) 의 양보다 프로세스 포지션에서 보다 작다. 갭 (1204) 은 웨이퍼 (W) 의 프로세싱 동안 상부 전극 어셈블리 (12), C-슈라우드 (1302), 및 하부 전극 (22) 에 의해 국한된다. 하부 전극 (22) 은 프로세서 (560) 의 제어 하에서 모터 구동 어셈블리 (46) 에 의해 프로세스 포지션에 배치된다. 모터 구동 어셈블리 (46) 는 척 지지 칼럼 (29B) 을 이송 포지션으로부터 프로세스 포지션을 달성하도록 고정된 지지부 (1202) 에 대해 위 또는 아래로, 예를 들어, 수직 방향, 등으로 이동시킨다.
상기 기술된 동작들의 일부 또는 전부는 평행 평판형 플라즈마 챔버, 예를 들어, CCP 챔버, 등을 참조하여, 또는 다른 타입들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기, TCP (transformer coupled plasma) 반응기, 컨덕터 툴들, 유전체 툴들을 포함한 플라즈마 챔버, ECR (electron-cyclotron resonance) 반응기를 포함한 플라즈마 챔버, 등을 참조하여 수행된다는 것을 주의하라. 예를 들어, 2 ㎒ RF 생성기, 27 ㎒ RF 생성기, 및/또는 60 ㎒ RF 생성기는 ICP 플라즈마 반응기 내에서 인덕터에 커플링된다.
상기 기술된 실시예들의 일부에서, RF 신호는 하부 전극 (22) 에 제공되고 그리고 상부 전극은 접지된다는 것을 주의해야 한다. 다양한 실시예들에서, RF 신호는 상부 전극에 제공되고 그리고 하부 전극 (22) 은 접지된다.
일부 실시예들에서, 본 명세서에 기술된 시스템들 및 방법들은 핸드-헬드 (hand-held) 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램 가능한 가전제품, 미니컴퓨터들, 메인프레임 컴퓨터들, 등을 포함한 다양한 컴퓨터 시스템 구성들을 사용하여 실시된다. 다양한 실시예들에서, 본 명세서에 기술된 시스템들 및 방법들은 태스크들이 컴퓨터 네트워크를 통해 링킹되는 리모트 프로세싱 하드웨어 유닛들에 의해 수행되는 분포된 컴퓨팅 환경들에서 실시된다.
일부 구현예들에서, 제어기는 본 명세서에 기술된 시스템들 및 방법들의 일부이다. 다양한 시스템들에서, 본 명세서에 기술된 시스템들 및 방법들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들, 하부 전극 (22), 가스 플로우 시스템, 등을 포함하는, 반도체 프로세싱 장비를 더 포함한다. 제어기는 웨이퍼 (W) 의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 동작을 제어하기 위한 전자장치를 포함한다. 제어기는, 시스템의 프로세싱 요건들에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 플라즈마 챔버 (11) 및 다른 이송 툴들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들, PLD들, 하나 이상의 마이크로프로세서들, 또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 웨이퍼 (W) 상에서 또는 웨이퍼 (W) 에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 전달되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼 (W) 의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부이다.
제어기는, 일부 실시예들에서, 플라즈마 시스템 (10) (도 1a) 에 통합되거나, 플라즈마 시스템 (10) 에 커플링되거나, 이와 달리 플라즈마 시스템 (10) 에 네트워킹되는 컴퓨터, 예를 들어, 호스트 컴퓨터 시스템 (1108) (도 11) 에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 (W) 프로세싱의 리모트 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있다. 제어기는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 플라즈마 시스템 (10) 으로의 리모트 액세스를 인에이블한다.
일부 실시예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 컴퓨터 네트워크를 통해서 프로세스 레시피들을 플라즈마 시스템 (10) 에 제공한다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 플라즈마 시스템 (10) 으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 웨이퍼 (W) 를 프로세싱하기 위한 설정사항들의 형태인 인스트럭션들을 수신한다. 이 설정사항들은 제어기가 제어하거나 인터페이싱하는 플라즈마 시스템 (10) 의 일부들 및 웨이퍼 (W) 상에서 수행될 프로세스의 타입에 특정적이라는 것이 이해되어야 한다. 따라서, 상기에 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들의 실행을 위해 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적들을 위한 분산형 제어기의 예는 플라즈마 챔버 (11) 내의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 원격 위치한 하나 이상의 집적 회로들과 통신하는 플라즈마 시스템 (10) 의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 본 명세서에 기술된 시스템들 및 방법들은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 트랙 (track) 챔버, 및 반도체 웨이퍼들, 예를 들어, 웨이퍼 (W), 등의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 챔버를 포함한다.
상기 기술된 동작들이 평행 평판형 플라즈마 챔버, 예를 들어, CCP 챔버, 등, 및 ICP 반응기를 참조하여 기술되었을지라도, 일부 실시예들에서, 상기 기술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어, TCP 반응기, 컨덕터 툴들, 유전체 툴들, ECR 반응기를 포함한 플라즈마 챔버, 등에 적용된다는 것을 더 주의하라.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작에 따라서, 제어기는 툴 회로들 또는 모듈들, 툴 컴포넌트들, 클러스터 툴들, 툴 인터페이스들, 인접하는 툴들, 이웃하는 툴들, 공장 전반에 위치한 툴들, 메인 컴퓨터, 다른 제어기, 또는 반도체 제조 공장에서 웨이퍼들의 용기들을 툴 위치들 및/또는 로드 포트들로 그리고 이들로부터 이동하는 재료 이송 시에 사용되는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 염두에 두면서, 일부 실시예들은 컴퓨터 시스템들 내에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이러한 컴퓨터-구현된 동작들은 물리량들을 조작하는 동작들이다.
상기에 기술된 일부 실시예들은 이러한 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 관한 것이다. 이러한 장치는 특정 목적 컴퓨터에 대해 특히 구성된다. 특정 목적 컴퓨터로서 규정될 때, 특정 목적 컴퓨터는 여전히 이러한 특정 목적을 위해서 동작할 수 있으면서도 특정 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행한다.
일부 실시예들에서, 상기에 기술된 동작들은 선택적으로 활성화되는 컴퓨터에 의해서 수행되거나, 컴퓨터 메모리 내에 저장된 하나 이상의 컴퓨터 프로그램들에 의해서 구성되거나, 컴퓨터 네트워크를 통해서 획득된다. 데이터가 컴퓨터 네트워크를 통해서 획득될 때, 데이터는 컴퓨터 네트워크, 예를 들어, 컴퓨팅 리소스들의 클라우드, 등 상의 다른 컴퓨터들에 의해서 프로세싱될 수도 있다.
몇몇의 실시예들에서, 본 명세서에서 기술된 방법들은 비일시적인 컴퓨터-판독 가능 매체 상의 컴퓨터-판독 가능 코드로서 제조된다. 비일시적인 컴퓨터-판독 가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터-판독 가능 매체의 예들은 하드 드라이브들 (hard drives), NAS (network attached storage), ROM (read-only memory), RAM (random access memory), 컴팩트 디스크-ROM들 (CD-ROMs), CD-R들 (CD-Recordables), CD-RW들 (CD-rewritables), 자기 테이프들 및 다른 광학적 및 비광학적 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독 가능 매체는 컴퓨터 판독 가능 코드가 분산된 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해서 분배되는 컴퓨터-판독 가능 유형의 매체를 포함한다.
상기에 기술된 일부 방법 동작들은 특정한 순서로 제공되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 방법 동작들 간에서 수행되거나, 방법 동작들이 약간 상이한 시간들에서 발생하도록 조정되거나, 다양한 간격들로 방법 동작들의 발생을 허용하는 시스템에서 분산되거나, 상기에 기술된 바와 상이한 순서로 수행된다는 것이 이해되어야 한다.
다양한 실시예에서, 상기에 기술된 임의의 실시예들로부터의 하나 이상의 특징들은 본 개시에서 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않고서 임의의 다른 실시예의 하나 이상의 특징들과 조합된다는 것을 더 주의해야 한다.
전술한 실시예들이 이해의 명료성을 위해서 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것은 명백할 것이다. 따라서, 본 실시예들은 예시적으로 해석되어야지 한정적으로 해석되지 말아야 하며, 실시예들은 본 명세서에서 주어진 세부사항으로 한정되지 말아야 하며 대신에 첨부된 청구항들의 범위 및 균등 범위 내에서 수정될 수도 있다.

Claims (39)

  1. 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템에 있어서,
    측벽;
    척 지지 칼럼 (column);
    상기 측벽에 의해 둘러싸인 인클로저 내에 놓인 하부 전극으로서, 상기 척 지지 칼럼에 의해 지지되는, 상기 하부 전극;
    상기 측벽 아래에 그리고 상기 측벽에 인접하게 위치된 전이 플랜지 (flange) 로서, 상기 전이 플랜지는 개구를 포함하고, 상기 척 지지 칼럼이 상기 전이 플랜지 개구를 통해 지나가고 그리고 상기 하부 전극으로부터 상기 전이 플랜지 내에 형성된 복수의 진공 개구들로의 잔여물 재료들의 컨덕턴스에 대한 임피던스를 감소시키도록, 상기 전이 플랜지 개구가 상기 하부 전극에 대해 동축으로 위치되는, 상기 전이 플랜지; 및
    보울 (bowl) 형상 부분과 수직 배향 부분을 가지는 RF (radio frequency) 로드로서, 상기 보울 형상 부분은 상기 수직 배향 부분에 커플링되고, 상기 RF 로드의 상기 수직 배향 부분은, 상기 전이 플랜지 개구를 통해 연장되고, 상기 RF 로드의 상기 보울 형상 부분과 동축이고, 상기 보울 형상 부분은 상기 하부 전극에 RF 전력을 제공하도록 상기 하부 전극과 커플링하는, 상기 RF 로드를 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 척 지지 칼럼은 중공형 공간을 포함하고,
    상기 RF 로드는 상기 중공형 공간을 통해 상기 하부 전극으로 연장하고,
    상기 시스템은,
    상기 하부 전극의 온도를 변화시키기 위해 하나 이상의 가스들을 공급하도록 상기 RF 로드의 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 형성된 하나 이상의 유입부들로 연장하는 가스 라인; 또는
    상기 하부 전극을 가열 또는 냉각하기 위해서 유체를 공급하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극으로 연장하는 유체 라인; 또는
    상기 하부 전극의 온도를 측정하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극에 근접한 써모커플로 연장하는 컨덕터; 또는
    상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 임베딩된 (embedded) 리프트 핀으로 연장하는 리프트 로드로서, 상기 리프트 핀은 상기 하부 전극에 대해 웨이퍼의 높이를 변화시키도록 상기 플라즈마 챔버에 대해 수직 방향으로 이동되도록 구성되는, 상기 리프트 로드를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 측벽은 300 ㎜의 직경을 가진 웨이퍼를 프로세싱하도록 사용되는 플라즈마 챔버의 직경보다 보다 큰 직경을 갖는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 복수의 진공 개구들과 인터페이싱된 (interfaced) 복수의 진공 펌프들을 더 포함하고, 상기 복수의 진공 펌프들은 상기 하부 전극에 대해 대칭으로 배치되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 복수의 진공 개구들의 상단 상에 위치된 복수의 배플들을 더 포함하고, 상기 복수의 배플들은 상기 전이 플랜지에 인접한 구역에서의 상기 컨덕턴스 및 압력을 제어하도록 상기 복수의 진공 개구들에 대해 수직으로 이동하도록 구성되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 플라즈마 챔버 내의 상기 컨덕턴스 및 압력을 제어하도록 상기 하부 전극과 상기 측벽 사이에 피팅된 (fitted) 그리드를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  7. 제 1 항에 있어서,
    상기 척 지지 칼럼의 상단 상에 피팅되는 보울 (bowl) 형상의 구조체를 더 포함하고, 상기 보울 형상의 구조체는 상기 하부 전극을 지지하고 그리고 상기 척 지지 칼럼과 상기 하부 전극 사이에 위치되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  8. 제 1 항에 있어서,
    상기 플라즈마 챔버의 상기 하부 전극과 상부 전극 사이에 형성된 갭을 제어하기 위해서 상기 측벽에 대해 수직 방향으로 상기 척 지지 칼럼을 이동시키도록 구성된 선형 구동 어셈블리를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 척 지지 칼럼은 중공형 공간을 포함하고,
    상기 RF 로드는 상기 중공형 공간을 통해 상기 하부 전극으로 연장하고,
    상기 시스템은,
    상기 RF 로드의 하단에 부착되도록 구성된 임피던스 매칭 네트워크를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  10. 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템에 있어서,
    측벽;
    척 지지 칼럼;
    상기 측벽의 상단 상에 배치된 ICP (inductively coupled plasma) 회로 어셈블리;
    상기 측벽에 의해 둘러싸인 인클로저 내에 놓인 하부 전극으로서, 상기 척 지지 칼럼에 의해 지지되는, 상기 하부 전극;
    상기 측벽 아래에 그리고 상기 측벽에 인접하게 위치된 전이 플랜지로서, 상기 전이 플랜지는 개구를 포함하고, 상기 척 지지 칼럼이 상기 전이 플랜지 개구를 통해 지나가고 그리고 상기 하부 전극으로부터 상기 전이 플랜지 내에 형성된 복수의 진공 개구들로의 잔여물 재료들의 컨덕턴스에 대한 임피던스를 감소시키도록, 상기 전이 플랜지 개구가 상기 하부 전극에 대해 동축으로 위치되는, 상기 전이 플랜지; 및
    상기 하부 전극에 RF 전력을 제공하도록 상기 플라즈마 챔버 내의 상기 하부 전극으로 상기 전이 플랜지 개구를 통해 연장하는 RF 로드로서, 상기 RF 로드는 보울 형상 부분과 수직 배향 부분을 가지고, 상기 보울 형상 부분은 상기 수직 배향 부분에 커플링되고, 상기 RF 로드의 상기 수직 배향 부분은, 상기 전이 플랜지 개구를 통해 연장되고, 상기 RF 로드의 상기 보울 형상 부분과 동축이고, 상기 보울 형상 부분은 상기 하부 전극과 커플링하는, 상기 RF 로드를 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  11. 제 10 항에 있어서,
    상기 척 지지 칼럼은 중공형 공간을 포함하고,
    상기 RF 로드는 상기 중공형 공간을 통해 상기 하부 전극으로 연장하고,
    상기 시스템은,
    상기 하부 전극의 온도를 변화시키기 위해 하나 이상의 가스들을 공급하도록 상기 RF 로드의 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 형성된 하나 이상의 유입부들로 연장하는 가스 라인; 또는
    상기 하부 전극을 가열 또는 냉각하기 위해서 유체를 공급하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극으로 연장하는 유체 라인; 또는
    상기 하부 전극의 온도를 측정하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극에 근접한 써모커플로 연장하는 컨덕터; 또는
    상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 임베딩된 리프트 핀으로 연장하는 리프트 로드로서, 상기 리프트 핀은 상기 하부 전극에 대해 웨이퍼의 높이를 변화시키도록 상기 플라즈마 챔버에 대해 수직 방향으로 이동되도록 구성되는, 상기 리프트 로드를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  12. 제 10 항에 있어서,
    상기 측벽은 300 ㎜의 직경을 가진 웨이퍼를 프로세싱하도록 사용되는 플라즈마 챔버의 직경보다 보다 큰 직경을 갖는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  13. 제 10 항에 있어서,
    상기 복수의 진공 개구들과 인터페이싱된 복수의 진공 펌프들을 더 포함하고, 상기 복수의 진공 펌프들은 상기 하부 전극에 대해 대칭으로 배치되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  14. 제 10 항에 있어서,
    상기 복수의 진공 개구들의 상단 상에 위치된 복수의 배플들을 더 포함하고, 상기 복수의 배플들은 상기 전이 플랜지에 인접한 구역에서의 상기 컨덕턴스 및 압력을 제어하도록 상기 복수의 진공 개구들에 대해 수직으로 이동하도록 구성되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  15. 제 10 항에 있어서,
    상기 플라즈마 챔버 내의 상기 컨덕턴스 및 압력을 제어하도록 상기 하부 전극과 상기 측벽 사이에 피팅된 그리드를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  16. 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템에 있어서,
    측벽;
    척 지지 칼럼;
    상기 측벽의 상단 상에 배치된 CCP (capacitively coupled plasma) 회로 어셈블리;
    상기 측벽에 의해 둘러싸인 인클로저 내에 놓인 하부 전극으로서, 상기 척 지지 칼럼에 의해 지지되는, 상기 하부 전극; 및
    상기 측벽 아래에 그리고 상기 측벽에 인접하게 위치된 전이 플랜지로서, 상기 전이 플랜지는 개구를 포함하고, 상기 척 지지 칼럼이 상기 전이 플랜지 개구를 통해 지나가고 그리고 상기 하부 전극으로부터 상기 전이 플랜지 내에 형성된 복수의 진공 개구들로의 잔여물 재료들의 컨덕턴스에 대한 임피던스를 감소시키도록, 상기 전이 플랜지 개구가 상기 하부 전극에 대해 동축으로 위치되는, 상기 전이 플랜지를 포함하고,
    상기 하부 전극에 RF 전력을 제공하도록 상기 플라즈마 챔버 내의 상기 하부 전극으로 상기 전이 플랜지 개구를 통해 연장하는 RF 로드로서, 상기 RF 로드는 보울 형상 부분과 수직 배향 부분을 가지고, 상기 보울 형상 부분은 상기 수직 배향 부분에 커플링되고, 상기 RF 로드의 상기 수직 배향 부분은, 상기 전이 플랜지 개구를 통해 연장되고, 상기 RF 로드의 상기 보울 형상 부분과 동축이고, 상기 보울 형상 부분은 상기 하부 전극과 커플링하는, 상기 RF 로드를 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  17. 제 16 항에 있어서,
    상기 척 지지 칼럼은 중공형 공간을 포함하고,
    상기 RF 로드는 상기 중공형 공간을 통해 상기 하부 전극으로 연장하고,
    상기 시스템은,
    상기 하부 전극의 온도를 변화시키기 위해 하나 이상의 가스들을 공급하도록 상기 RF 로드의 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 형성된 하나 이상의 유입부들로 연장하는 가스 라인; 또는
    상기 하부 전극을 가열 또는 냉각하기 위해서 유체를 공급하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극으로 연장하는 유체 라인; 또는
    상기 하부 전극의 온도를 측정하도록 상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극에 근접한 써모커플로 연장하는 컨덕터; 또는
    상기 RF 로드의 상기 중공형 공간 및 상기 전이 플랜지 개구를 통해 상기 하부 전극 내에 임베딩된 리프트 핀으로 연장하는 리프트 로드로서, 상기 리프트 핀은 상기 하부 전극에 대해 웨이퍼의 높이를 변화시키도록 상기 플라즈마 챔버에 대해 수직 방향으로 이동되도록 구성되는, 상기 리프트 로드를 더 포함하는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  18. 제 16 항에 있어서,
    상기 측벽은 300 ㎜의 직경을 가진 웨이퍼를 프로세싱하도록 사용되는 플라즈마 챔버의 직경보다 보다 큰 직경을 갖는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  19. 제 16 항에 있어서,
    상기 복수의 진공 개구들과 인터페이싱된 복수의 진공 펌프들을 더 포함하고, 상기 복수의 진공 펌프들은 상기 하부 전극에 대해 대칭으로 배치되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  20. 제 16 항에 있어서,
    상기 복수의 진공 개구들의 상단 상에 위치된 복수의 배플들을 더 포함하고, 상기 복수의 배플들은 상기 전이 플랜지에 인접한 구역에서의 상기 컨덕턴스 및 압력을 제어하도록 상기 복수의 진공 개구들에 대해 수직으로 이동하도록 구성되는, 플라즈마 챔버 내에서 컨덕턴스의 균일성을 증가시키기 위한 시스템.
  21. 플라즈마 챔버 내의 하부 전극으로 RF 전력의 대칭적인 전달을 제공하기 위한 시스템에 있어서,
    하단 측면을 갖는 하부 전극; 및
    보울 형상 부분 및 칼럼 형상 부분을 갖는 RF 로드로서, 상기 RF 로드의 컬럼 형상 부분은 상기 RF 로드의 상기 보울 형상 부분과 동축이고, 상기 RF 로드의 상기 보울 형상 부분은 상기 RF 로드의 상기 칼럼 형상 부분에 커플링되는 하부 보울 측면을 갖고, 상기 RF 로드의 상기 보울 형상 부분은 상기 하부 전극의 상기 하단 측면에 커플링되는 상부 보울 측면을 갖고, 상기 RF 로드의 상기 상부 보울 측면은 RF 전력을 상기 하부 전극으로 대칭적으로 전달하도록 상기 하부 전극의 상기 하단 측면의 주변부에 대칭적으로 근접하여 배치된 림을 갖는, 상기 RF 로드를 포함하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  22. 제 21 항에 있어서,
    상기 RF 로드의 상기 칼럼 형상 부분을 둘러싸는 척 지지 칼럼;
    상기 척 지지 칼럼 상에 지지되고 커플링되는 보울 형상 구조체로서, 상기 보울 형상 구조체는 상기 RF 로드의 상기 보울 형상 부분을 둘러싸고, 상기 하부 전극을 지지하도록 구성되는, 상기 보울 형상 구조체를 더 포함하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  23. 제 22 항에 있어서,
    상기 플라즈마 챔버의 측벽으로서, 상기 측벽은 상기 보울 형상 구조체를 둘러싸는, 상기 플라즈마 챔버의 측벽;
    상기 측벽에 커플링된 전이 플랜지로서, 상기 전이 플랜지는 상기 보울 형상 구조체를 지지하기 위해 상기 플라즈마 챔버 아래로부터 상기 플라즈마 챔버 내로 상기 척 지지 칼럼의 통과를 허용하기 위한 전이 플랜지 개구를 갖고, 상기 전이 플랜지는 복수의 진공 펌프들로의 플라즈마의 잔여물의 대칭 컨덕턴스를 용이하게 하기 위해 상기 전이 플랜지 개구에 대해 대칭적으로 위치되는 복수의 배기 개구들을 갖는, 상기 전이 플랜지를 더 포함하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  24. 제 22 항에 있어서,
    상기 RF 로드는 상기 척 지지 칼럼 및 상기 보울 형상 구조체에 대해 대칭적으로 놓이는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  25. 제 21 항에 있어서,
    상기 RF 로드는 중공형 공간을 갖고, 상기 시스템은 상기 하부 전극의 온도를 변화시키도록 하나 이상의 가스들을 공급하기 위해 상기 RF 로드의 상기 중공형 공간을 통과하는 가스 라인을 더 포함하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  26. 제 21 항에 있어서,
    상기 RF 로드는 중공형 공간을 갖고, 상기 시스템은 상기 하부 전극을 가열하도록 가열 유체를 공급하기 위해 상기 RF 로드의 상기 중공형 공간을 통과하는 유체 라인을 더 포함하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  27. 제 21 항에 있어서,
    상기 하부 전극은 상기 하부 전극의 상기 하단 측면에 대해 반대편에 위치된 상단 측면을 갖고, 상기 상단 측면은 프로세싱될 기판을 지지하도록 구성되는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  28. 제 21 항에 있어서,
    상기 보울 형상 부분은 보울 형상 구조체에 의해 둘러싸이고, 상기 보울 형상 구조체는 상기 플라즈마 챔버 내에 위치되는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  29. 제 28 항에 있어서,
    상기 칼럼 형상 부분은 척 지지 칼럼에 의해 둘러싸이고, 상기 척 지지 칼럼은 상기 보울 형상 구조체를 지지하도록 구성되는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  30. 제 21 항에 있어서,
    상기 림은 상기 RF 로드의 상기 상부 보울 측면의 주변부이고, 상기 하부 전극에 RF 전력을 제공하도록 상기 하부 전극의 상기 하단 측면과 콘택트하는, RF 전력의 대칭적인 전달을 제공하기 위한 시스템.
  31. RF 로드에 있어서,
    보울 형상 부분으로서, 상기 보울 형상 부분은 플라즈마 챔버의 인클로저 내부에 위치되도록 구성되는, 상기 보울 형상 부분; 및
    상기 보울 형상 부분 아래에 위치된 칼럼 형상 부분으로서, 상기 RF 로드의 상기 컬럼 형상 부분은 상기 RF 로드의 상기 보울 형상 부분과 동축이고, 상기 칼럼 형상 부분은 상기 플라즈마 챔버의 하단 벽 내에 형성된 전이 플랜지 개구를 통해 연장하도록 구성되는, 상기 칼럼 형상 부분을 포함하는, RF 로드.
  32. 제 31 항에 있어서,
    상기 보울 형상 부분은 상기 플라즈마 챔버의 하부 전극에 커플링되도록 구성되고, 상기 칼럼 형상 부분은 전송 라인의 RF 로드에 커플링되도록 구성되는, RF 로드.
  33. 제 31 항에 있어서,
    상기 보울 형상 부분은 중공형 공간을 갖고 그리고 상기 칼럼 형상 부분은 중공형 공간을 갖고, 상기 보울 형상 부분은 상기 칼럼 형상 부분의 직경보다 큰 직경을 갖는, RF 로드.
  34. 제 31 항에 있어서,
    상기 칼럼 형상 부분은 칼럼의 단면 형상을 갖고 그리고 상기 보울 형상 부분은 보울의 단면 형상을 갖는, RF 로드.
  35. 제 31 항에 있어서,
    상기 보울 형상 부분은 중공형 공간을 갖고, 상기 보울의 상기 중공형 공간은 가스 공급 라인, 써모커플 라인, 및 AC (alternating current) 공급 라인을 수용하도록 구성되는, RF 로드.
  36. 제 31 항에 있어서,
    상기 보울 형상 부분 및 상기 칼럼 형상 부분은 수직 방향으로 이동하도록 구성되는, RF 로드.
  37. RF 전력의 전달 방법에 있어서,
    RF 로드의 칼럼 형상 부분에서 RF 신호를 수신하는 단계로서, 상기 칼럼 형상 부분은 플라즈마 챔버 외부로부터 상기 플라즈마 챔버 내로 개구를 통해 연장하는, 상기 RF 신호를 수신하는 단계;
    상기 RF 로드의 상기 칼럼 형상 부분 및 보울 형상 부분을 통해 상기 RF 신호를 전달하는 단계로서, 상기 RF 로드의 상기 컬럼 형상 부분은 상기 RF 로드의 보울 형상 부분과 동축이고, 상기 보울 형상 부분은 상기 플라즈마 챔버의 인클로저 내부에 위치되는, 상기 RF 신호를 전달하는 단계; 및
    상기 보울 형상 부분으로부터 상기 플라즈마 챔버의 하부 전극으로 상기 RF 신호를 공급하는 단계를 포함하는, RF 전력의 전달 방법.
  38. 제 37 항에 있어서,
    상기 플라즈마 챔버 내 갭 내에 플라즈마를 형성하도록 상기 하부 전극으로부터 상기 갭으로 상기 RF 신호의 전력을 전달하는 단계;
    척 지지 칼럼의 보울 형상 부분에서 복귀 RF 신호를 수신하는 단계로서, 상기 복귀 RF 신호는 상기 플라즈마로부터 수신되고, 상기 척 지지 칼럼의 상기 보울 형상 부분은 상기 플라즈마 챔버 내부에 위치되는, 상기 복귀 RF 신호를 수신하는 단계; 및
    상기 복귀 RF 신호를 상기 척 지지 칼럼의 칼럼 형상 부분으로 전달하는 단계를 더 포함하는, RF 전력의 전달 방법.
  39. 제 38 항에 있어서,
    상기 RF 신호는 전송 라인의 RF 로드로부터 수신되고, 상기 방법은 상기 척 지지 칼럼의 상기 칼럼 형상 부분으로부터 상기 복귀 RF 신호를 상기 RF 전송 라인의 RF 시스로 전달하는 단계를 더 포함하는, RF 전력의 전달 방법.
KR1020160045616A 2015-04-17 2016-04-14 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버 KR102553248B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230086540A KR20230104850A (ko) 2015-04-17 2023-07-04 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562149493P 2015-04-17 2015-04-17
US62/149,493 2015-04-17
US15/068,508 US10049862B2 (en) 2015-04-17 2016-03-11 Chamber with vertical support stem for symmetric conductance and RF delivery
US15/068,508 2016-03-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230086540A Division KR20230104850A (ko) 2015-04-17 2023-07-04 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버

Publications (2)

Publication Number Publication Date
KR20160124006A KR20160124006A (ko) 2016-10-26
KR102553248B1 true KR102553248B1 (ko) 2023-07-06

Family

ID=57129910

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160045616A KR102553248B1 (ko) 2015-04-17 2016-04-14 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버
KR1020230086540A KR20230104850A (ko) 2015-04-17 2023-07-04 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230086540A KR20230104850A (ko) 2015-04-17 2023-07-04 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버

Country Status (5)

Country Link
US (3) US10049862B2 (ko)
JP (1) JP2016219790A (ko)
KR (2) KR102553248B1 (ko)
CN (2) CN106057624B (ko)
TW (2) TWI687963B (ko)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP1546799S (ko) * 2015-06-12 2016-03-28
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP1564934S (ko) * 2016-02-26 2016-12-05
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
SG11201912566WA (en) * 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
CN110800377B (zh) 2017-06-27 2022-04-29 佳能安内华股份有限公司 等离子体处理装置
KR102421625B1 (ko) * 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
JP6595002B2 (ja) 2017-06-27 2019-10-23 キヤノンアネルバ株式会社 スパッタリング装置
JP6865128B2 (ja) * 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10892142B2 (en) * 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
KR102513417B1 (ko) * 2018-03-16 2023-03-27 삼성전자주식회사 반도체 소자의 제조장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
SG11202009122YA (en) 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
WO2020013938A1 (en) * 2018-07-07 2020-01-16 Applied Materials, Inc. Semiconductor processing apparatus for high rf power process
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068107A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Systems and methods for optimizing power delivery to an electrode of a plasma chamber
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD912572S1 (en) * 2019-04-15 2021-03-09 Edmond K. Chow Article for floral arrangements
CN113994451A (zh) 2019-06-07 2022-01-28 应用材料公司 无缝电导管
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2021158450A1 (en) * 2020-02-04 2021-08-12 Lam Research Corporation Optimization of radiofrequency signal ground return in plasma processing system
KR102486362B1 (ko) * 2020-11-17 2023-01-09 주식회사 유진테크 기판 처리 장치
CN114582693A (zh) * 2020-11-30 2022-06-03 中微半导体设备(上海)股份有限公司 等离子体处理装置及其末端执行器、边缘环及方法
JP7264976B2 (ja) * 2020-12-08 2023-04-25 セメス カンパニー,リミテッド 基板処理装置及び基板支持ユニット
CN114724913A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种改善刻蚀均匀性的双挡板装置
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3603726A1 (de) * 1986-02-06 1987-08-13 Siemens Ag Anordnung zum aetzen oder bestaeuben eines substrats
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR100625318B1 (ko) * 2004-10-08 2006-09-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US20090061544A1 (en) * 2007-08-30 2009-03-05 Applied Materials, Inc. Trajectory based control of plasma processing
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
TWI488547B (zh) 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US8438712B2 (en) * 2008-02-08 2013-05-14 Lam Research Corporation Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
WO2011028597A1 (en) * 2009-08-26 2011-03-10 Veeco Instruments, Inc. System for fabricating a pattern on magnetic recording media
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9267742B2 (en) * 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR101232200B1 (ko) * 2010-12-28 2013-02-12 피에스케이 주식회사 배플, 기판 처리 장치 및 그 처리 방법
KR101196422B1 (ko) * 2011-02-22 2012-11-01 엘아이지에이디피 주식회사 플라즈마 처리장치
US8900402B2 (en) * 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR102192742B1 (ko) * 2011-11-23 2020-12-18 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
KR101966800B1 (ko) * 2012-06-08 2019-08-13 세메스 주식회사 기판처리장치 및 방법
JP5975754B2 (ja) * 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US20150047785A1 (en) * 2013-08-13 2015-02-19 Lam Research Corporation Plasma Processing Devices Having Multi-Port Valve Assemblies
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP2016051876A (ja) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP6296299B2 (ja) * 2014-09-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TW202025221A (zh) 2020-07-01
TWI687963B (zh) 2020-03-11
CN106057624B (zh) 2018-11-13
CN109411323A (zh) 2019-03-01
CN106057624A (zh) 2016-10-26
US10665435B2 (en) 2020-05-26
TWI777130B (zh) 2022-09-11
JP2016219790A (ja) 2016-12-22
US10395902B2 (en) 2019-08-27
US20160307743A1 (en) 2016-10-20
US20180323044A1 (en) 2018-11-08
KR20230104850A (ko) 2023-07-11
US10049862B2 (en) 2018-08-14
KR20160124006A (ko) 2016-10-26
TW201705189A (zh) 2017-02-01
US20190371579A1 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
KR102553248B1 (ko) 대칭적인 컨덕턴스 및 rf 전달을 위해 수직 지지 스템을 가진 챔버
US10825656B2 (en) Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6539113B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR102331286B1 (ko) 입자 역류 방지 부재 및 기판 처리 장치
KR20230163977A (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
US20230092887A1 (en) Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
US20230230804A1 (en) Process control for ion energy delivery using multiple generators and phase control
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
KR20200003247A (ko) 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
KR20230107749A (ko) 정적 자기장을 사용한 플라즈마 균일도 제어

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant