TW201705189A - 具有對稱傳導與射頻傳輸用直立式支撐柱之腔室 - Google Patents

具有對稱傳導與射頻傳輸用直立式支撐柱之腔室 Download PDF

Info

Publication number
TW201705189A
TW201705189A TW105111722A TW105111722A TW201705189A TW 201705189 A TW201705189 A TW 201705189A TW 105111722 A TW105111722 A TW 105111722A TW 105111722 A TW105111722 A TW 105111722A TW 201705189 A TW201705189 A TW 201705189A
Authority
TW
Taiwan
Prior art keywords
lower electrode
plasma chamber
opening
conduction
flange
Prior art date
Application number
TW105111722A
Other languages
English (en)
Other versions
TWI687963B (zh
Inventor
丹尼爾 亞瑟 布朗
約翰 派翠克 霍藍德
麥可 C 克拉吉
詹姆士 E 塔彭
傑瑞爾 K 翁托利克
意恩 肯沃西
席爾 帕那格普洛斯
志剛 陳
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201705189A publication Critical patent/TW201705189A/zh
Application granted granted Critical
Publication of TWI687963B publication Critical patent/TWI687963B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

本說明書提供電漿腔室,其用以增加該電漿腔室內之傳導性,及增加該傳導性之均勻性。用於將功率施加至該電漿腔室的射頻(RF, radio frequency)路徑係對稱於該電漿腔室之中央軸。另外,用以將材料自該電漿腔室移除的泵浦係對稱於該中央軸而設置。該RF路徑及該泵浦的對稱配置有助於增加該電漿腔室內之傳導均勻性。

Description

具有對稱傳導與射頻傳輸用直立式支撐柱之腔室
本發明實施例係關於能達成對稱傳導與對卡盤之對稱射頻(RF, radio frequency)傳輸的對稱腔室設計。
電漿系統包含電漿腔室及一或更多射頻(RF, radio frequency)產生器。一或更多RF產生器將功率供應至電漿腔室,以在電漿腔室內形成電漿。RF功率係經由阻抗匹配電路及RF傳輸管線而供應。殘留在電漿腔室中的電漿及/或材料係使用泵浦來移除。電漿係用以處理晶圓。
電漿系統中的元件配置若為不適當的,則會造成晶圓之不規則的處理。另外,若未適當地進行材料及/或電漿的移除,則會負面地影響晶圓之處理。
本揭露內容中所述之實施例即於此背景下產生。
本揭露內容之實施例提供用於提供具有射頻(RF, radio frequency)對稱性之高傳導性腔室的裝置、方法及電腦程式。應察知,可以許多方式來實施本發明實施例,例如製程、設備、系統、硬體件、或電腦可讀媒體上的方法。以下描述一些實施例。
在晶圓之尺寸增加(例如自300 毫米(mm)至450 mm)的情況下,用以固持及處理晶圓的電漿腔室之尺寸亦隨之增加。處理晶圓之範例包含蝕刻晶圓、將單體或聚合物沉積於晶圓上、清潔晶圓、濺鍍晶圓等。電漿腔室之尺寸增加,以增加殘餘材料(例如蝕刻材料、電漿、沉積材料等)自電漿腔室至電漿腔室外部之傳導性,並容納晶圓。
在若干實施例中,電漿腔室具有大的內直徑且提供有待裝設於電漿腔室之基底的複數真空泵浦,並具有裝設於中央之基座,例如陰極、卡盤、下部電極等。該內直徑係大於用於處理300 mm之晶圓的內直徑。一般而言,電漿腔室之側壁的內表面係為圓柱形的,但側壁的外表面係其他形狀的,例如方形的或矩形的等。選擇電漿腔室之內直徑與基座之外直徑,以使晶圓之平面與電漿腔室之底表面間的流動傳導性最大化。
在電漿腔室之尺寸增加的情況下,均勻地處理晶圓係更加困難。在若干實施例中,對稱地將RF功率提供至晶圓,以在電漿腔室內引燃電漿或維持電漿。例如,藉由將RF桿配置於經過下部電極的中央之中央軸的周圍,而對稱地提供RF功率。舉例而言,RF桿不會彎曲而降低減少殘餘材料自電漿腔室至電漿腔室之外部的傳導之對稱性的機會。另外,在晶圓之處理後或處理期間, 殘餘材料係以對稱的方式自電漿腔室移除。此類移除會促進晶圓處理的均勻性。藉由將真空泵浦對稱於中央軸而設置,會促進該移除。
在各種實施例中,裝設於中央的RF桿包含待輸送至基座的其他設施之供應,例如靜電卡盤(ESC, electrostatic chuck)、冷卻流體、熱電偶直流電(DC, direct current)電壓、氦的輸送、ESC加熱功率等。
在若干實施例中,電漿腔室中殘餘材料之流動的對稱性(有時亦稱為傳導性或泵抽對稱性),係與電漿腔室內RF功率傳至晶圓的對稱傳輸共同提供。本說明書中所述之系統及方法增加在晶圓平面(例如:頂表面等)的傳導性,且亦改善在相同時間的傳導之對稱性,同時產生對稱的RF饋送結構,其達成RF功率傳至晶圓的對稱傳輸。
在各種實施例中,提供驅動機制以在垂直方向上移動基座及RF桿,以在處理順序中的不同時間改變晶圓位置。在一實施例中,驅動機制係為線性驅動機制,其容許垂直支撐柄的垂直移動。上移或下移的移動係用以裝載或卸載晶圓,或用以在晶圓之處理期間改變基座之高度。
在若干實施例中,電漿腔室包含柵體(例如:護罩等),其用以將基座及上部電極間之處理區域與基座周圍的圓柱形區域(例如:空間等)分開,該柵體產生自電漿腔室之處理區域至圓柱形區域的傳導路徑。選擇柵體中之開口的尺寸,以設定或調節處理區域與圓柱形區域間的傳導性。
本說明書中所述之系統及方法的若干優點包含提供傳至基座之對稱的RF傳輸,以及來自電漿腔室的殘餘材料之對稱傳導性。本說明書中所述之系統及方法的額外優點包含控制基座及RF桿在垂直方向上的移動。該垂直方向上的移動有助於達成傳導的對稱性及RF功率傳至基座之傳輸的對稱性。對稱的RF傳輸及傳導之對稱性有助於達成晶圓之處理的均勻性。
其他態樣將透過以下實施方式並結合隨附圖式而變得清楚明瞭。
下列實施例描述具有射頻(RF, radio frequency)對稱性之用於提供高傳導性腔室的系統及方法。卡盤支撐柱及卡盤支撐柱內之RF桿的垂直配置可達成下部電極周圍之對稱的傳導性(例如:流動等)。RF桿的垂直配置亦可達成對下部電極之對稱的RF傳輸。此外,在若干實施例中,將一或更多泵浦配置於卡盤支撐柱之下方及周圍,以提供有效率且對稱的泵抽以達到對稱的傳導性。在各種實施例中, 加入隔板以控制且達到對稱的傳導性。顯而易見的,在不具有此等具體細節之若干或全部的情況下,仍可實行本發明實施例。在其他情況下,眾所周知的製程操作並不詳加描述,以避免非必要地混淆該等系統及方法的實施例。
圖1A係為具有電漿腔室11之電漿系統10的實施例之圖示。電漿系統10包含上部電極組件12。在若干實施例中,上部電極組件12係選擇為電容耦合電極(例如平行板、上部電極等)、或感應耦合電極(例如:一或更多線圈等),以將射頻(RF, radio frequency)信號傳遞至電漿腔室11。電漿腔室11之優點為,不同的上部電極系統(例如電容式、電感式等)係於不同的時間耦合至電漿腔室11的側壁14。側壁14包圍殼體25,其為由側壁14所圍住的容積。電漿腔室11更包含轉接凸緣16、隔板18A、及隔板18B。設置於各對應的隔板18A及18B下方的係泵浦20A及泵浦20B。電漿腔室11亦包含下部電極 22、介電體24、及射頻(RF, radio frequency)桿30。包含於電漿系統10內的係碗形結構29A、卡盤支撐柱29B、及RF桿28,包圍RF桿28的RF鞘31、阻抗匹配網路43、一或更多RF產生器51、馬達驅動組件45、線性滑軌47、及電源49。在若干實施例中,馬達驅動組件45及線性滑軌47的組合在本說明書中係稱為線性驅動組件。
RF桿30經由在卡盤支撐柱29B內所形成之中空空間33而延伸。中空空間33係由卡盤支撐柱29B的內表面所包圍。RF鞘31及RF桿28共同形成RF傳輸管線。RF桿28係藉由絕緣體而與RF鞘 31分隔開。RF桿28及30係由傳導性材料所製成,以傳遞經修改之RF信號。RF鞘31與RF桿28間的絕緣體使經由RF桿28所傳遞的RF信號與經由RF鞘31所傳遞的RF信號絕緣。在若干實施例中,RF桿28係經由電氣連接而連接至RF桿30,而RF桿30係經由電氣連接而連接至下部電極22。在若干實施例中,電氣連接點包含傳導性接合表面、傳導性夾具、傳導性黏膠、RF帶、膠帶、或其他連接件。
碗形結構29A係經由耦接機構(例如螺栓、螺釘、螺帽等)而安裝至卡盤支撐柱29B。卡盤支撐柱29B係安裝(例如拴緊、附接等)至碗形結構29A,以支撐碗形結構29A。碗形結構29A係附接至介電體24,其支撐下部電極22。另外,下部電極22係由RF桿30所支撐。並且,在各種實施例中,下部電極22係相對於中央軸1002而對稱地配置。例如,下部電極 22係與中央軸1002共軸且同心。介電體24係由絕緣體材料(例如:陶瓷等)所製成。
在若干實施例中,碗形結構29A在經由電漿腔室11之頂部開口而運送後,係安裝於電漿腔室11內。當上部電極組件12未配置於側壁14之頂部上而安裝(例如拴緊、附接等)至側壁14時,則頂部開口形成。另外,卡盤支撐柱29B的部分係自轉接凸緣16內所形成之底部開口而容納於電漿腔室11內。轉接凸緣16係附接至(例如安裝至、拴緊至等)側壁14之底表面17,而上部電極組件12係附接至側壁14之頂表面19。頂表面19係設置於側壁14相對於底表面17的另一端上。
一或更多RF產生器51的範例包含x 兆赫(MHz, megahertz) RF產生器、y MHz RF產生器、及z MHz RF產生器。x MHz RF產生器係為400 千赫(kHz, kilohertz) RF產生器或2 MHz RF產生器。y MHz RF產生器係為27 MHz RF產生器,而z MHz RF產生器係為60 MHz RF產生器。阻抗匹配網路 43包含一或更多 電阻器、一或更多電容器、及一或更多電感器。在若干實施例中,阻抗匹配網路43包含一或更多電容器及一或更多電感器。
RF桿30的部分44A具有類似於碗形結構29A之形狀的形狀。例如, 部分44A亦為碗形。部分44A與碗形結構29A之間在形狀方面的相似點,允許降低RF供應路徑與RF返回路徑的阻抗間之不匹配性,其兩者係描述於下。另外, RF桿30內的中空空間,相較於在RF桿30的部分44B,在部分44A處具有增加的直徑,且該直徑的增加容許各種供應管線(例如用於加熱或冷卻下部電極22的氣體供應管線、用於量測下部電極22之溫度的熱電偶、用於提供交流電(AC, alternating current)功率以加熱下部電極22的AC供應管線等)被封裝於該中空空間的內部。
下部電極22係由金屬(例如陽極化的鋁、鋁之合金等)所製成。並且,上部電極係由金屬(例如鋁、鋁之合金等)所製成。上部電極係設置於下部電極22的對面且面向下部電極22。電源49包含一或更多儲存容器,其用於儲存用於冷卻下部電極22的一或更多流體、用於加熱下部電極22的一或更多流體等。
馬達驅動組件45包含驅動器(例如:一或更多電晶體等)、及馬達。自主機電腦系統的處理器提供信號給驅動器,其進一步描述於下。如本說明書中所使用,處理器係為特定應用積體電路(ASIC, application specific integrated circuit)、或可程式化邏輯裝置(PLD, programmable logic device)、或微處理器、或控制器。在接收到信號後,驅動器即產生電流信號以提供至馬達的定子。當定子接收到電流信號時,馬達的轉子轉動。連接機構53(例如:一或更多桿、透過齒輪機構相互連接的一或更多桿等)係連接至該轉子,並隨該轉子的轉動而移動。連接機構53的移動會以相對於固定支撐件的垂直方向移動性滑軌47,進一步描述於下。線性滑軌47在垂直方向上的移動會以相對於上部電極組件12的垂直方向移動碗形結構29A、卡盤支撐柱29B、RF桿30、及下部電極 22,以改變下部電極22與上部電極組件12間的間隙。晶圓係置於該間隙中以進行處理,例如蝕刻、沉積材料於其上、清潔、濺鍍等。
一或更多RF產生器51產生對應的一或更多RF信號,其由阻抗匹配網路43所修改,以產生經修改的RF信號。例如,阻抗匹配網路43將連接至阻抗匹配網路43之輸出端的負載(如:RF傳輸管線、電漿腔室11等)之阻抗與連接至阻抗匹配網路43之輸入端的來源(如:一或更多RF產生器51、將一或更多RF產生器51連接至阻抗匹配網路43的對應之一或更多RF纜線等)之阻抗相匹配。經修改的信號係經由RF傳輸管線之RF桿28傳送至RF桿30,且係進一步自RF桿30傳送至下部電極22。
RF桿28、RF桿30、及下部電極22形成RF供應路徑,以將經修改之RF信號供應至下部電極22。RF桿30(其為非彎曲的,且其整個長度從頭至尾為垂直的)提供對稱性地傳輸經修改的RF信號至下部電極22。應注意,電漿腔室11內之RF桿30的部分44B具有垂直的(例如非水平的、非彎曲的等)方向。RF桿30之部分44B的垂直方向有助於經修改的RF信號之RF功率暢通地傳輸至下部電極22。經修改的RF信號之傳輸係對稱於中央軸1002,在若干實施例中,中央軸1002係為RF桿 30的中央軸。例如,中央軸1002通過RF桿 30的質心。再例如,RF桿30係與中央軸1002共軸。
另外,在卡盤支撐柱29B及碗形結構29A對稱於中央軸1002的情況下,在下部電極22附近的傳導性為對稱的,其為殘餘材料(例如蝕刻副產物、反應物氣體、沉積副產物、清潔副產物等)及/或電漿腔室11中的電漿之流動。例如,卡盤支撐柱29B與中央軸1002共軸,而碗形結構29A與中央軸1002共軸。為了進一步說明,中央軸1002通過卡盤支撐柱29B的質心及碗形結構29A的質心。
在若干實施例中,卡盤支撐柱29B不會阻礙殘餘材料及/或電漿自下部電極22傳至真空泵浦20A及20B的傳導性。例如,在電漿腔室11內為彎曲的、且透過側壁14(例如側壁14的外部側表面21等)而安裝的懸臂式的柄支撐件,會阻礙殘餘材料傳至真空泵浦 20A及20B的傳導性。側表面21相對於頂表面19及底表面17而形成角度(例如90度、85度與95度之間等)。相較之下,在電漿腔室11內為垂直而非彎曲的、且未透過側壁14而安裝在電漿腔室11內的卡盤支撐柱29B,不會阻礙殘餘材料及/或電漿傳至真空泵浦20A及20B的傳導性。
在各種實施例中,真空泵浦20A及20B係對稱於中央軸1002而配置。例如,真空泵浦20A係設置於距中央軸1002一距離處,該距離係與真空泵浦20B距中央軸1002之距離相同。再例如,真空泵浦20A及20B係與中央軸1002同心而設置,以使中央軸1002通過包圍真空泵浦20A及20B的體積之質心。在若干實施例中,真空泵浦20A係設置於距中央軸1002一距離處,且該距離係於真空泵浦20B距中央軸1002之距離的預定閾值內。真空泵浦20A及20B的對稱配置有助於達成殘餘材料及/或電漿之傳導性,其對稱於中央軸1002。
在各種實施例中,隔板18A開啟或關閉開口27A,以控制自電漿腔室11流至真空泵浦20A之電漿及/或殘餘材料的流動量。例如,當隔板18A開啟時,自電漿腔室11流至電漿腔室11之外部的流動量會增加,而當隔板18A關閉時,該流動量會減少。類似地,隔板18B開啟或關閉開口27B,以控制自電漿腔室11內流至電漿腔室11外部之電漿及/或殘餘材料的流動量。開口27A及27B係於轉接凸緣16中且於電漿腔室11與真空泵浦20A及20B之間形成。在若干實施例中,隔板18A與18B係對稱於中央軸1002而設置。例如,隔板18A與18B係距中央軸1002等距而設置。控制隔板18A與18B以達成對稱傳導性。
在若干實施例中,開口27A及27B係對稱於中央軸1002(圖1A)。例如,開口27A及27B兩者係距中央軸1002等距而設置。在各種實施例中,其中使用大於兩個的開口來調節流至許多泵浦的流動,所有開口係對稱於中央軸1002。例如,所有開口係距中央軸1002等距而設置。開口27A係於真空泵浦20A(圖1A)與電漿腔室11之殼體25(圖1A)之間形成,而開口27B係於真空泵浦20B(圖1A) 與電漿腔室11之殼體25之間形成。
RF返回路徑係由來自電漿腔室11內所形成之電漿的RF返回信號所形成。RF返回信號自電漿行經介電體24、碗形結構29A、卡盤支撐柱 29B、及RF傳輸管線之RF鞘31,而返回至阻抗匹配網路 43.
在若干實施例中,任何其他數量(例如3、4等)的真空泵浦係替代真空泵浦20A及20B而使用,而所有真空泵浦係對稱於中央軸1002而配置。例如, 當使用3個泵浦時,泵浦係設置於垂直於中央軸1002的一想像的水平三角形之對應頂點,而中央軸1002經過該水平三角形的中心點。真空泵浦的對稱配置可達成殘餘材料及/或電漿自電漿腔室11內傳至真空泵浦的對稱傳導性。
在若干實施例中,使用任何其他形狀(例如多邊形、方形等)的結構(代替碗形結構29A)。
在各種實施例中,側壁14、上部電極組件12、及轉接凸緣16包圍殼體25。例如,殼體25具有由側壁14上部電極組件12、及轉接凸緣16所圍住的容積。
在各種實施例中,卡盤支撐柱29B的部分不與卡盤支撐柱29B的另一部分呈角度。例如,卡盤支撐柱29B係非彎曲而是筆直的。
在許多實施例中,RF桿30不與RF桿30的另一部分呈角度。例如, RF桿30係非彎曲而是筆直的。
在各種實施例中,轉接凸緣16係為電漿腔室11的部分。例如,轉接凸緣16形成電漿腔室11的底壁。
在各種實施例中,中央軸1002係距電漿腔室11之側壁14等距。在若干實施例中,中央軸1002係距RF桿30或距卡盤支撐柱29B等距。在各種實施例中,中央軸1002係距下部電極 22 (圖 1A)的邊緣等距。
在若干實施例中,電漿腔室11內的傳導性改變,以改變電漿腔室11內的壓力。例如,當電漿腔室11的一區域內之傳導性增加時,該區域內之壓力增加。再例如,當電漿腔室11的一區域內之傳導性減少時,該區域內之壓力減少。又例如,當電漿腔室11的一區域內之傳導性為均勻時,該區域內之壓力為均勻的。再例如,當電漿腔室11的一區域內之傳導性為非均勻時,該區域內之壓力為非均勻的。
圖1B係為繪示可選擇性地配置於電極22與碗形結構29A間且安裝於側壁14與介電體24間的柵體50之圖示。例如,柵體50係使用耦接機構安裝至側壁14且使用耦接機構連接至介電體24。柵體50係由矽或傳導性材料等所製成。柵體50係用以控制(例如增加、減少等)電漿及/或殘餘材料自電漿腔室11內之區域1傳至區域2的傳導性。區域1及2係進一步描述於下。在若干實施例中, 柵體50係為圓形,且具有許多開口O1至On,其中n為大於0的整數。例如,各開口為細長的、或圓形的、或其他形狀。圖1B中繪示了柵體50之各種形狀的開口。儘管繪示了各種形狀,但柵體50具有相同形狀的開口。在若干實施例中,柵體50具有兩不同的開口形狀。
柵體50亦用以將RF返回信號自電漿傳送至卡盤支撐柱29B。例如, 柵體50將RF返回信號自電漿傳遞至卡盤支撐柱29B以形成RF返回路徑。
圖1C係為電漿腔室11之實施例的圖示,其用以說明電漿腔室11內的不同傳導區域1、2、及3,及影響電漿及/或殘餘材料之傳導性的各種參數。電漿腔室11係用以處理不同尺寸的晶圓,例如450毫米(mm)直徑的晶圓、具有大於450 mm之直徑的晶圓、具有300 mm與450 mm間之直徑的晶圓、具有300 mm與500 mm間之直徑的晶圓、具有300 mm與600 mm間之直徑的晶圓等。置於電漿腔室11內的晶圓係標定為W。電漿腔室11具有區域1,其中傳導性係量測於晶圓之水平, 例如晶圓被置於下部電極 22上的水平、下部電極22之頂表面106上方的水平等。區域1在頂表面106與上部電極組件12間延伸。電漿及/或殘餘材料的傳導性在區域1中為均勻的。另外,電漿腔室11具有區域2,其由下部電極 22之側壁102、電漿腔室11之側壁14的內表面104、經過下部電極22之頂表面106的平面、及位於距轉接凸緣16之內部底表面108預定距離處的預定平面所包圍。轉接凸緣16提供接合至一或更多泵浦P1至P6的介面,例如渦輪分子泵浦(TMPs, turbo molecular pumps)、渦輪泵浦、真空泵浦等。相較於區域1,區域2內之傳導性降低。電漿腔室11具有區域3,其位於轉接凸緣16之內部底表面108,例如在轉接凸緣16之內部底表面108上方的預定距離內等。電漿及/或殘餘材料的傳導性在區域3中為均勻的。
電漿及/或殘餘材料之傳導的傳導路徑130具有自區域1經由區域2至區域3的傳導方向。當操作泵浦P1至P6以在電漿腔室11內產生局部真空時,傳導路徑130提供在電漿製程之後、自電漿腔室11流至泵浦P1至P6的電漿及/或殘餘材料之流動方向。
在若干實施例中,區域1的體積係由附接至內表面104上之襯墊的設計所決定,區域2的體積係由側壁14之內表面104的直徑110、及下部電極22的高度、及下部電極 22的直徑dLowerelectrode所決定,而區域3的體積係由一或更多泵浦的一或更多類型及其數量、以及該一或更多泵浦相對於中央軸1002的布局(例如:配置等) 所決定。襯墊係附接至(例如安裝至、拴緊至等)電漿腔室11的側壁14。在若干實施例中,襯墊係由金屬或半導體材料所製成。在各種實施例中,在電漿腔室11內部提供襯墊,以保護腔室壁免於蝕刻或製程微粒,且當必要時清潔及替換襯墊。
直徑110的範例包含在32吋與40吋間分布的直徑。例如,直徑110為35吋。又例如,直徑110為36吋。區域1的直徑110大於用以處理300 mm晶圓的電漿腔室之直徑。應注意,在襯墊係安裝至內表面104的若干實施例中,直徑110係為自附接至內表面104的襯墊其中一者的表面至附接至在側壁14的相反側之內表面104的襯墊其中另一者的表面的直徑。例如,區域1的直徑係為垂直於附接至電漿腔室11之內表面104的襯墊之線的長度。在不使用襯墊的各種實施例中,直徑110係為側壁14之內表面104的直徑。
在若干實施例中,區域2係為自電漿經由下部電極 22之頂表面106而延伸至底表面120、且在下部電極22與側壁14間延伸的空間。在各種實施例中,區域3係位於底表面120與轉接凸緣16之內部底表面108之間。
在各種實施例中,在區域1中存在晶圓W之頂表面上方的傳導性,而在區域3中存在泵浦P1至P6上方的傳導性。
在若干實施例中,護罩或柵體(例如:柵體50等)係安裝於電漿腔室11內,以將區域2與區域3分開。例如,護罩或柵體係安裝至在下部電極22下方的側壁14之內表面104。
圖2A係為電漿腔室11的方塊圖,其用以說明電漿腔室11的直徑110(其為內直徑)、下部電極22的直徑dLowerelectrode(其為外直徑)、及電漿腔室11的高度204係如何改變以增加電漿腔室11內之傳導性。在若干實施例中,高度204排除上部電極組件12(圖1A)的高度,且包含側壁14的高度及轉接凸緣16的高度。電漿腔室11的直徑110、直徑dLowerelectrode、及電漿腔室11的高度204,係為影響電漿腔室11內之傳導性的參數之範例。藉由使下部電極 22的直徑dLowerelectrode最大化,使電漿腔室11的直徑110最小化,且使電漿腔室11的高度最小化,而增加傳導性。
在若干實施例中,相較於使用用於處理300 mm晶圓之電漿腔室所達成之傳導性,電漿腔室11達成至少2.25倍的傳導性。例如,電漿腔室11的直徑110係於0.75 公尺至1 公尺間分布,而電漿腔室11之側壁14的高度係於0.4 公尺與0.7 公尺間分布。另外,在此範例中,轉接凸緣16的高度係於0.2 公尺至0.4 公尺間分布。再者,在此範例中,晶圓W與下部電極22之邊緣間的距離202係於 14 吋與30吋間分布。
圖2B係為電漿腔室11之實施例的俯視圖。當在側壁14與下部電極22間形成的通道係狹窄時,殘餘材料及/或電漿之傳導的速率(例如:速度等)自區域1至區域3增加(圖1C)。當下部電極22之寬度(例如:直徑dLowerelectrode等)增加,且電漿腔室11之寬度(例如:直徑 110)減少時,該通道會變得更狹窄。下部電極22之寬度係增加以相較於300 mm晶圓而促進450 mm晶圓於下部電極22上之配置。並且,當高度204(圖2A)變得更短時,殘餘材料及/或電漿所行經的距離係減少而增加傳導之速率。
圖3A係為用以說明一方式的圖示,在該方式中卡盤支撐柱29B係嵌入電漿腔室11中。卡盤支撐柱29B係經由轉接凸緣16內所形成的開口302而嵌入電漿腔室11的殼體25(圖1A)中。在若干實施例中,用於裝設卡盤支撐柱29B的開口302係設置於轉接凸緣16(圖1C)的內部底表面108(圖1C)之中央。例如,開口302不會於電漿腔室11之側壁14內形成。再例如,開口302的任何部分皆不會在側壁14內產生。
在各種實施例中,在電漿腔室11內部,耦接至碗形結構29A(圖1A)之卡盤支撐柱29B的一部分與電漿腔室11內之卡盤支撐柱29B的另一部分之間不會形成角度。另外,當電漿腔室11內之卡盤支撐柱 29B係為垂直而不干擾電漿及/或殘餘材料之移除時,則達成在轉接凸緣16(其提供接合至一或更多泵浦的介面)之內部底表面108的傳導之均勻性。
圖3B係為壓力圖304之實施例,其用以說明在晶圓W之頂表面壓力的均勻性。藉由自電漿腔室11的底部經由轉接凸緣16裝設卡盤支撐柱29B,達到在晶圓W處之壓力均勻度,且達到在晶圓W上方預定距離(例如:1公分等)之壓力均勻度。
圖3C係為壓力圖306之實施例,其用以說明在晶圓W上方預定距離之壓力均勻度。如壓力圖306中所繪示,在晶圓W上方預定距離之最小與最大的壓力差異為0.29%。
圖4係為曲線圖400,其用以說明一或更多泵浦係用以自電漿腔室11移除電漿製程之電漿及/或殘餘物。曲線圖400標繪泵浦速度(公升/秒(L/s))相對於腔室速度(公升/秒)。腔室速度係為在晶圓W處的傳導率。如曲線圖400中所示, either a 使用其為6 千升/秒(kL/s)之速度泵浦的單一泵浦、或使用其為各4.5 kL/s之速度泵浦的兩泵浦、或其為各3 kL/s之速度泵浦的兩泵浦、或其為各2 kL/s之速度泵浦的三泵浦,以移除電漿及/或殘餘物。使用許多小型泵浦來代替一大型6 kL/s泵浦,如使用圖4所繪示。如圖4中所繪示,藉由使用一或更多泵浦,能達成達到至少高2.25倍之傳導性的目標。
當使用電漿腔室11來處理450 mm晶圓時,將反應物氣體提供至電漿腔室11以產生電漿或維持電漿腔室11內之電漿的速度會增加至少2.25倍。該速度係相較於將反應物氣體提供至用於處理300 mm晶圓之電漿腔室的速度而增加。並且,為匹配該速度增加,自電漿腔室11將殘餘材料及/或電漿泵抽至電漿腔室11之外部的速度,係相較於用以將殘餘材料及/或電漿自用於處理300 mm晶圓之電漿腔室移除的泵浦而增加至少2.25倍。
圖5A係為電漿系統10之實施例的俯視圖500,其用以說明不具隔板時之卡盤支撐柱29B的使用。電漿系統10之俯視圖500中,顯示卡盤支撐柱29B、開口27A及27B、下部電極22、及電漿腔室11之側壁14。在使用俯視圖500所繪示的電漿腔室11之實施例中未使用隔板(例如平板、金屬板等)。
圖5B係為當在電漿系統10(圖1A)中未使用隔板時,在晶圓W之頂表面的壓力標繪圖524A之實施例。另外,圖5C係為當在電漿系統10(圖1A)中未使用隔板時,在距晶圓W之頂表面預定距離的另一壓力標繪圖524B之實施例。壓力標繪圖524A及524B係進一步闡明於下。
圖5D係為電漿系統10之實施例的另一俯視圖510,其中使用隔板18A及18B。例如,隔板18A及18B係置於區域3內,例如,鄰近轉接凸緣16(圖1C)之內部底表面108(圖1C)。隔板18A係以垂直方向移動,以覆蓋或敞開開口27A,而隔板18B係以垂直方向移動,以覆蓋或開啟開口27B。操作隔板18A及18B(例如上移或下移等)以控制開口27A及27B的開啟及關閉,以進一步控制電漿腔室11內之壓力。例如,如本說明書中所描述的各隔板係藉由馬達驅動組件及連接機構而控制,以控制隔板的垂直移動。以下提供如何控制隔板的進一步描述。將隔板上移或下移以控制開口27A及27B的開啟及關閉,以進一步控制電漿腔室11內之傳導,並達到電漿腔室11之區域3內的傳導之對稱性。例如,當隔板18A及18B係以垂直方向上移以開啟開口27A及27B時,傳導性會增加,而當隔板18A及18B係以垂直方向下移以關閉開口27A及27B時,傳導性會減少。在若干實施例中,隔板作為閥之用,以防止空氣及/或其他物質自電漿腔室11外部流入電漿腔室11 中。
隔板18A及18B在形狀方面為多邊形的(例如矩形的、方形的等),且係設置於泵浦20A及20B個別上方。在若干實施例中,隔板18A及18B係為另外的形狀,例如圓形的、橢圓形的。
圖5E係為當在電漿系統10(圖1A)中使用隔板18A及18B時,在晶圓W之頂表面的壓力標繪圖526A之實施例。另外,圖5F係為當在電漿系統10(圖1A)中使用隔板18A及18B時,在距晶圓W之頂表面預定距離的另一壓力標繪圖526B之實施例。壓力標繪圖526A及526B係進一步闡明於下。
圖5G係為電漿系統10之又一俯視圖520,其中使用隔板522A及隔板522B。各隔板522A及522B為新月形的,且係設置於轉接凸緣16之內部底表面108的外緣。例如,隔板522A及522B係鄰近轉接凸緣16之內部底表面108且鄰近側壁14之內表面104(圖1C)而設置,以控制轉接凸緣16與泵浦20A及20B間之開口27A及27B的部分。隔板522A及522B係藉由馬達驅動組件(本說明書中提供其範例)及連接機構(本說明書中提供其範例)而控制,以控制電漿腔室11內的傳導性。隔板18A、18B (圖5D)、522A、及522B的使用有助於達成在高傳導率下之流動對稱性的增加程度,以達到電漿腔室11內的壓力控制。
在各種實施例中,在電漿腔室11內使用所有的隔板18A、18B、522A、及522B。例如,所有的隔板18A、18B、522A、及522B係鄰近轉接凸緣16之內部底表面108而設置.
圖5H係為當在電漿系統10(圖1A)中使用隔板522A及522B時,在晶圓W之頂表面的壓力標繪圖528A之實施例。另外,圖5I係為當在電漿系統10(圖1A)中使用隔板522A及522B時,在距晶圓W之頂表面預定距離的另一壓力標繪圖528B之實施例。
如從壓力圖524A、524B、526A、及526B所見,當使用隔板18A及18B時較未使用隔板18A及18B時,在晶圓W處之壓力(如:在晶圓W之頂表面上等)係更為均勻,例如對稱性等。另外,如從壓力圖526A、526B、528A、及528B所見,相較於未使用隔板522A及522B時、與相較於在電漿腔室11內使用隔板18A及18B時,當使用隔板522A及522B時,在晶圓W上方預定距離之壓力係更為均勻。
在晶圓W處或在晶圓W上方預定距離的壓力之均勻性的增加,提供晶圓W之處理的均勻性。例如,相較於未使用隔板18A及18B時,當使用隔板18A及18B時,晶圓W係較均勻地蝕刻。再例如,相較於未使用隔板522A及522B時,當使用隔板522A及522B時,晶圓W係較均勻地蝕刻。
圖5J係為隔板550之等角視圖,其用以說明使用處理器560之隔板550的控制。隔板550係為隔板18A或隔板18B(圖1A)的範例。類似地,轉接凸緣16之內部底表面108內的開口552係為開口27A或開口27B(圖5A)的範例。處理器560係為主機電腦系統的處理器。處理器560係連接至馬達驅動組件562,其係經由連接機構564而連接至隔板550。馬達驅動組件562具有與馬達驅動組件45(圖1A)之結構相同的結構。例如,馬達驅動組件562包含連接至馬達的驅動器,該馬達更連接至連接機構 564。再例如,馬達驅動組件562之驅動器包含一或更多電晶體,且該驅動器係連接至馬達驅動組件562之馬達的定子,而該馬達的轉子係連接至連接機構564。連接機構564的範例包含一或更多桿、透過齒輪機構相互連接的一或更多桿、或提動閥等。
隔板550減少轉接凸緣16下方被鐘擺閥所佔用的空間量,其進一步描述於下。例如,相較於設置於電漿腔室11之外部且在電漿腔室11下方的鐘擺閥,藉由將隔板550設置於電漿腔室11內部,隔板550會減少自晶圓 W之頂表面至真空泵浦20A及20B(圖1A)的傳導距離(例如:高度等)。空間量的減少增加了傳導性。
另外,隔板550係受控制而以垂直方向移動以控制電漿腔室11內之傳導性。 例如,處理器560傳送信號至馬達驅動組件562之驅動器,而在接收到信號後, 驅動器即產生電流信號。將電流信號提供至馬達驅動組件562之馬達以轉動預定的量。馬達的轉動使連接機構564轉動,而以垂直方向使隔板550移離開口552以開啟開口552,或移向開口552以關閉開口552。
圖6係為曲線圖600之實施例,其用以說明在使用提動閥與未使用提動閥的情況下,氣體(例如:氬)自電漿腔室11(圖1A)至電漿腔室11的外部之傳導性的控制量。曲線圖600標繪在晶圓W之頂表面的壓力相對於經由轉接凸緣16中之開口27A及27B(圖5A)的氣體流量。曲線C1係當未使用提動閥來控制隔板18A及18B(圖5D)且未使用提動閥來控制隔板522A及522B(圖5G)時而標繪。另外,曲線C2係當使用提動閥時而標繪。曲線C3係為目標曲線,其用以說明使用電漿腔室11而達到2.25倍的傳導性。相較於使用用於300 mm晶圓之電漿腔室而達到的傳導性,該傳導性為2.25倍。
如自曲線C1及C2所繪示,為了在晶圓W之平面達到如目標曲線C3中所顯示之相同壓力量,對於改變殘餘材料及/或電漿之傳導性存在更多空間。
圖7A係為電漿系統700之實施例的等角視圖,其用以說明卡盤支撐柱29B係經由轉接凸緣16之內部底表面108內所形成之開口302(圖3)而嵌入,而位於由側壁14(圖1A)所包圍的殼體25(圖1A)內。此即為卡盤支撐柱29B垂直地裝設在電漿腔室11內的方式。碗形結構29A係自電漿系統700頂端安裝至卡盤支撐柱29B。
圖7B係為電漿系統702之實施例的側視圖,其用以說明卡盤支撐柱29B周圍之側壁14的安裝情形,及碗形結構29A的安裝情形。卡盤支撐柱29B係經由開口302(圖3)而嵌入,而碗形結構29A係設置於卡盤支撐柱29B的頂端上而安裝至卡盤支撐柱29B。側壁14則係包圍碗形結構29A而設置且安裝至轉接凸緣16。
在若干實施例中,下部電極22與卡盤支撐柱29B係互為同心、與轉接凸緣16同心,且與側壁14同心。下部電極22、卡盤支撐柱29B、轉接凸緣16、及側壁14的同心配置改善了RF路徑的對稱性且增加電漿腔室11內之傳導性。
圖7C係為電漿系統704之實施例的側視圖,其用以說明上部電極系統12安裝至側壁14的情形。一旦側壁14係安裝至轉接凸緣16,包含許多上部電極元件(例如氣體饋送器、上部電極、上部電極擴充件、 許多介電環、許多電極線圈、法拉第屏蔽等)的上部電極組件12係安裝至(例如拴緊至、附接至等)側壁14之頂表面19,以形成電漿腔室11。
應注意,上部電極組件12將電漿腔室11界定為電容耦合電漿 (CCP, capacitively coupled plasma)腔室或變壓耦合電漿(TCP, transformer coupled plasma)腔室。例如,側壁14係接合上部電容式電極或上部電感式電極。再例如,相同的側壁14係接合上部電極組件12,以執行導體蝕刻或介電體蝕刻。
鐘擺閥722A係設置於泵浦20A與轉接凸緣16之間。另外,鐘擺閥722B係設置於泵浦20B與轉接凸緣16之間。在若干實施例中,各鐘擺閥722A及722B防止電漿製程之電漿及/或殘餘材料流回至電漿腔室11的殼體25中。例如,各鐘擺閥722A及722B在未操作泵浦20A或20B其中的對應者時關閉,而在操作對應的泵浦20A或20B時開啟。
在若干實施例中,鐘擺閥722A及722B係對稱於中央軸1002而設置。例如,鐘擺閥722A係設置於距中央軸1002一距離,該距離係與鐘擺閥722B距中央軸1002的距離相同。
應注意,在若干實施例中,提供至下部電極22以處理(例如蝕刻沉積於其上的材料、沉積材料於其上、清潔等)直徑大於300 mm的晶圓W(例如300 mm與450 mm間的晶圓、450 mm晶圓等)之經修改的RF信號之功率量係大於提供用於處理300 mm晶圓的功率量。該功率係由一或更多RF產生器51(圖1A)所產生的。
另外,在各種實施例中,用於處理直徑大於300 mm之晶圓W的電漿腔室11之殼體25(圖1A)的容積較提供用於處理300 mm晶圓的容積大於例如3倍、2.5倍、3.3倍、4倍等。另外,在若干實施例中,關於處理直徑大於300 mm的晶圓W之流率係較用於處理300 mm晶圓之流率大於例如2倍與3倍間之範圍的倍數等。例如,在處理晶圓W的期間,使用具有組合的負載量一或更多泵浦(以千升/秒來量測)。該組合的負載量係為大於用於控制處理300 mm晶圓之電漿腔室中的傳導性之一或更多泵浦之組合的負載量之倍數(例如2倍、3倍、2倍與3倍間之範圍的倍數等)。
圖8A係為側壁14之實施例的等角視圖。電漿腔室11的直徑110係為側壁14之內表面104的內直徑。側壁14具有內表面104及外表面21。
在若干實施例中,代替圓形橫剖面,側壁14之內表面104具有另外的橫剖面形狀,例如橢圓形的、多邊形的等。
圖8B係為轉接凸緣16之實施例的等角視圖。轉接凸緣16具有內部底表面108,相較於轉接凸緣16之頂表面810,內部底表面108係位於較低水平。頂表面810係接合側壁14之底表面17(圖1A)。開口302、27A、及27B在內部底表面108內形成。另外,在各種實施例中,開口302與中央軸1002同心。例如,中央軸1002經過開口302的中央。再例如,開口302與中央軸1002共軸。
圖9係為側壁14及轉接凸緣16的等角視圖。管凸緣(spool flange)902係包含於鐘擺閥722B與轉接凸緣16間。類似地,在若干實施例中,管凸緣係設置於鐘擺閥722A與轉接凸緣16間。管凸緣係用以將鐘擺閥附接至轉接凸緣16。在許多實施例中,未使用管凸緣來將鐘擺閥附接至轉接凸緣16。
側壁14之外表面21具有方形的橫剖面,而側壁14之內表面104具有圓形的橫剖面。在若干實施例中,內表面104及外表面21兩者皆具有相同的橫剖面形狀,例如方形或圓形或多邊形等。
圖10A係為用以說明卡盤支撐柱29B之實施例的圖示,相較於透過側壁14而裝設之懸臂式的柄支撐件,卡盤支撐柱29B係自電漿腔室11之底部部分垂直地裝設至電漿腔室11。如所顯示,卡盤支撐柱係為筆直的且非彎曲的。並且,在使用卡盤支撐柱29B的情況下,能在電漿腔室11內達到對稱的傳導性。另外,相較於懸臂式的柄支撐件,當使用卡盤支撐柱29B時,蝕刻速率之均勻性會增加。卡盤支撐柱29B包含RF桿30(圖1A),其位於卡盤支撐柱29B之中空空間33(圖1A)內。另外,在若干實施例中,RF桿30係與卡盤支撐柱29B及中央軸1002共軸。在各種實施例中,RF桿30不會彎曲成在RF桿30的部分間形成角度。另外,RF桿30係未經由電漿腔室11之側壁14嵌入電漿腔室11。卡盤支撐柱29B提供對稱於電漿腔室11之中央軸1002的RF返回路徑,以減少(例如:排除等)來自非均勻之返回路徑的偏斜。
圖10B係為曲線圖1003,其用以說明相較於懸臂式的柄支撐件,在使用垂直地對稱於中央軸1002之卡盤支撐柱29B的情況下,蝕刻速率係更加均勻。曲線圖1003標繪蝕刻速率相對於下部電極22之位置。相較於在使用懸臂式的柄支撐件的情況下所達成的蝕刻速率,如由對應卡盤支撐柱29B之虛線曲線1004所示,蝕刻速率係對稱於下部電極22。在使用懸臂式的柄支撐件的情況下所達成的蝕刻速率係使用實線曲線1006來繪示。
圖11係為電漿系統1102之實施例的圖示,其用以說明對稱的RF供應路徑1106A及對稱的RF返回路徑1106B。電漿系統1102包含電漿腔室11、卡盤支撐柱29B、一或更多RF產生器51、及主機電腦系統1108。主機電腦系統1108之範例包含桌上型電腦、筆記型電腦、智慧型行動電話等。
主機電腦系統1108控制一或更多RF產生器51。例如,一或更多RF產生器51自主機電腦系統1108之處理器560接收頻率位準及功率位準。一或更多RF產生器51產生具有一或更多對應頻率及一或更多對應功率量的一或更多對應之RF信號,並將一或更多RF信號提供至阻抗匹配網路43。阻抗匹配網路43將負載(如:RF傳輸管線、電漿腔室11等)之阻抗與來源(如:一或更多RF產生器51、將一或更多RF產生器51連接至阻抗匹配網路43的對應之一或更多RF纜線等)之阻抗相匹配,以從由阻抗匹配網路43所接收之一或更多RF信號產生經修改的信號。
自阻抗匹配網路43將經修改的RF信號提供至下部電極22,以在電漿腔室11內引燃及/或維持電漿。電漿在製程氣體供應至電漿腔室11時引燃及/或維持。製程氣體的範例包括含氧氣體,例如O2 。製程氣體的其他範例包括含氟氣體,例如四氟化碳(CF4 )、六氟化硫(SF6 )、六氟乙烷(C2 F6 )等。
經修改的RF信號係經由包含RF桿28、RF桿 30、及下部電極 22的RF供應路徑1106A而供應。並且,自電漿腔室11內的電漿所產生之返回的RF信號會經過RF返回路徑1106B,其包含支撐下部電極22的碗形結構29A、支撐碗形結構29A的卡盤支撐柱29B、及延伸至阻抗匹配網路43的RF鞘31。RF路徑1106A及1106B係對稱於中央軸1002,以改善電漿腔室1102內之傳導性及傳導均勻性。
流體管線1120A及1120B經過RF桿30內的中空空間1105,以供應加熱的流體來加熱下部電極22,或供應冷卻的流體來冷卻下部電極22。RF桿30包圍中空空間1105。另外,氣體管線1122係設置於RF桿30之中空空間1105內, 以將一或更多氣體(例如冷卻氣體、氦氣體等)供應至在下部電極22內所形成之一或更多氣體入口(例如:用於使氣體進入下部電極22的槽孔等),以冷卻下部電極22。在各種實施例中, 吹淨氣體管線係設置於RF桿30之中空空間1105內,以將一或更多氣體自電漿腔室11吹淨。
在若干實施例中,如所顯示,代替卡盤支撐柱29B的側邊,阻抗匹配網路43係設置於卡盤支撐柱29B的底部部分,以對RF路徑1106A及1106B提供更進一步的對稱性,以增加電漿腔室11內之傳導方面的均勻性。例如,阻抗匹配網路43係垂直地(例如:筆直地等)設置於RF桿30下方。再例如,阻抗匹配網路43係設置於RF桿30下方,而阻抗匹配網路43之外罩係與中央軸1002同心。又例如,阻抗匹配網路43係在RF桿30下方垂直地連接至RF桿30的底端。舉例而言,阻抗匹配網路43係設置於卡盤支撐柱29B的中空空間33內,且連接至RF桿30。RF桿30的頂端係連接至下部電極22,且設置於RF桿30之底端的相對面。
在各種實施例中,除了氣體管線1122及流體管線1120A及1120B,供應及/或接收管線係設置於RF桿30之中空空間1105內。例如,在RF桿30之中空空間1105內提供一或更多氣動供應管線(例如:舉升桿等),其用於控制對應的一或更多舉升銷,以使晶圓W自下部電極22之頂表面106舉升。再例如,在RF桿30之中空空間1105內提供一或更多直流電(DC, direct current)線路(例如:導體等),其用於感測熱電偶所量測的下部電極22之溫度。熱電偶係設置於下部電極22附近,例如位於距下部電極22之預定距離內、接觸下部電極22等。又例如,在RF桿30之中空空間1105內提供一或更多交流電(AC)線路(例如:導體等),其用於將AC功率提供至加熱器(例如:電阻器等)。在RF桿之中空空間1105內的供應及接收管線、氣體管線1122、吹淨氣體管線、及流體管線1120A與1120B之位置有助於達成電漿腔室11內之傳導及壓力的對稱性。
在若干實施例中,流體管線1120A及1120B距中央軸1002等距。在各種實施例中,氣體管線1122係與中央軸1002同心。在各種實施例中,所有供應及接收管線係對稱於中央軸1002而設置。例如,用於舉升或降低晶圓W的氣動管線係距中央軸1002等距而設置。再例如,DC線路係距中央軸1002等距而設置。又例如,AC線路係距中央軸1002等距而設置。
圖12係為電漿系統1102之實施例的圖示,其用以說明下部電極22在晶圓W裝載至下部電極22上之期間的運送位置。晶圓W係經由電漿腔室11之側壁14內的槽孔1702而裝載至下部電極22上。下部電極22之該位置係低於以下於圖13中所繪示的下部電極22之位置。例如,相較於在電漿腔室11內處理晶圓W時,在晶圓W被裝載至電漿腔室11中的運送位置期間下部電極22與上部電極組件12間之間隙1204係較大。
固定支撐件1202(例如:由金屬所製成的支架等)支撐電漿腔室11。例如,固定支撐件1202係安裝至轉接凸緣16,如此固定支撐件1202緊鄰轉接凸緣16以支撐電漿腔室11。線性滑軌47係附接至(例如安裝至、拴緊至等)固定支撐件1202之邊緣E1。例如,線性滑軌47係附接至固定支撐件1202之邊緣E1,其與固定支撐件1202之邊緣E2呈角度,而轉接凸緣16係附接至邊緣E2。
處理器560將控制信號傳送至馬達驅動組件45之驅動器。在接收到控制信號後,驅動器即產生電流信號,其被提供至馬達的定子。定子產生電場T,其轉動馬達的轉子,以轉動及/或移動連接機構53。連接機構 53之轉動及/或移動會以垂直方向移動線性滑軌47,以在垂直方向上對著固定支撐件1202而滑動或滾動。例如,線性滑軌47相對於邊緣E1而滑動或滾動。線性滑軌47的滑動或滾動會移動卡盤支撐柱29B,其係於垂直方向上附接至(例如安裝至、拴緊至等)線性滑軌47。另外,線性滑軌47的滑動或滾動會以垂直方向移動元件,例如RF桿30、流體供應管線1120A及1120B、吹淨氣體管線、氣體管線1122、供應及/或接收管線(圖11)等。卡盤支撐柱29B之移動會移動碗形結構29A,其係附接至卡盤支撐柱29A。支撐於碗形結構29A上的下部電極22會隨著碗形結構29A的移動而以垂直方向移動,以改變下部電極22與上部電極組件12間之間隙1204的大小。.
應注意,當固定支撐件1202係利用轉接凸緣16而固定,以及當側壁14係位於固定位置時,會發生線性滑軌47的滑動或滾動。例如,線性滑軌47相對於固定支撐件1202及側壁14而以垂直方向移動時。
圖13係為電漿系統1102之實施例的圖示,其用以說明下部電極22在晶圓W之處理期間的位置(例如:處理位置等)。The position of the 下部電極22之該位置係高於圖12中所繪示的,當晶圓W被運送至電漿腔室11中時下部電極22之位置。例如,在處理位置中的下部電極22與上部電極組件12間之間隙1204的大小係小於在運送位置中的下部電極22與上部電極組件12間之間隙1204的大小。在晶圓W之處理期間,間隙1204係受上部電極組件12、C-側板1302、及下部電極22所侷限。在處理器560的控制下,馬達驅動組件46將下部電極22置於處理位置。馬達驅動組件46使卡盤支撐柱29B相對於固定支撐件1202而上移或下移(例如:以垂直方向等),以自運送位置達到處理位置。
應注意,上述操作的若干或全部係參照平行板電漿腔室(例如:電容耦合電漿腔室等)而執行,或參照其他類型的電漿腔室,例如包含感應耦合電漿(ICP, inductively coupled plasma)反應器、變壓耦合電漿(TCP, transformer coupled plasma) 反應器、導體工具、介電工具的電漿腔室、包含電子迴旋共振(ECR, electron cyclotron resonance)反應器的電漿腔室等。例如,2 MHz RF產生器、27 MHz RF產生器、及/或60 MHz RF產生器係耦接至ICP電漿反應器內的感應器。
應注意,在上述實施例之若干中,將RF信號提供至下部電極22,並將上部電極接地。在許多實施例中,將RF信號提供至上部電極,並將下部電極22接地。
在若干實施例中,本說明書中所述之系統及方法係利用各種電腦系統結構(包含手持硬體單元、微處理器系統、基於微處理器或可程式化之消費電子產品、微電腦、大型電腦、及相似物)來實施。在各種實施例中,本說明書中所述之系統及方法係在分散式計算環境中實施,其中在該分散式計算環境中,工作係藉由透過電腦網路連線之遠端處理硬體單元來執行。
在若干實施例中,控制器可為本說明書中所述之系統及方法的部分。在各種實施例中,本說明書中所述之系統及方法更包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(下部電極22、氣流動系統等)。該控制器可包含用於在晶圓W之處理前、處理期間、及處理後控制操作的電子裝置。依據處理之需求,可將控制器程式化以控制本說明書中所揭露之任一製程,包含製程氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出電漿腔室11及其他傳送工具之晶圓傳送。
廣泛而言,在各種各樣的實施例中,控制器係定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為ASIC及PLD之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令係為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在晶圓W上、或針對晶圓W執行製程的操作參數。在若干實施中,該操作參數係為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓W之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施例中,控制器係為電腦的部分或耦接至電腦,例如主機電腦系統1108(圖)11),其係與電漿系統10(圖1A)整合、耦接至電漿系統10、或透過網路連接至電漿系統10。舉例而言,控制器係位於「雲端」中、或為晶圓廠主機電腦系統的全部或部分,其允許晶圓W之處理的遠端存取。該控制器達成對電漿系統10之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自複數製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。
在若干實施例中,遠端電腦(如:伺服器)透過電腦網路將製程配方提供給電漿系統10,該電腦網路包含區域網路或網際網路。該遠端電腦包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至電漿系統10。在若干範例中,控制器接收用於處理晶圓W之設定的形式之指令。應瞭解,該等設定係特定於待於晶圓W上執行之製程的類型、及電漿系統10之部分,其中控制器與該部分介面接合或控制該部分。因此,如上所述,控制器被分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如達成本說明書中所述之製程。用於此類目的之分開的控制器之範例包含電漿系統10中之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制電漿腔室11中的製程。
在各種實施例中,本說明書中所述之系統及方法包含(但不限於)電漿蝕刻腔室、沉積腔室、旋轉沖洗腔室、金屬電鍍腔室、潔淨腔室、斜邊蝕刻腔室、物理氣相沉積(PVD, physical vapor deposition)腔室、化學氣相沉積(CVD, chemical vapor deposition)腔室、原子層沉積(ALD, atomic layer deposition)腔室、原子層蝕刻(ALE, atomic layer etch)腔室、離子植入腔室、徑跡腔室、及與半導體晶圓(例如晶圓W等)之製造及/或生產有關或用於其中的任何其他半導體處理腔室。
更應注意,儘管參照平行板電漿腔室(例如:電容耦合電漿腔室等)及ICP反應器來描述上述操作,但在若干實施例中,上述操作適用於其他類型的電漿腔室,例如變壓耦合電漿(TCP, transformer coupled plasma)反應器、導體工具、介電工具、包含電子迴旋共振(ECR, electron cyclotron resonance)反應器的電漿腔室等。
如上所述,依據待由工具所執行之製程操作,控制器係與下列一或多者通訊:工具電路或模組、工具元件、群集工具、工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
鑑於以上實施例,應理解,實施例之若干使用涉及儲存於電腦系統中之資料的各種電腦實行之操作。此等電腦實行之操作係為操控物理性者。
上述實施例之若干係關於用於執行此等操作的硬體單元或設備。該設備係針對特殊用途電腦而加以特別建構,當被定義為特殊用途電腦時,該特殊用途電腦執行非該特殊用途的部分之其他處理、程式執行、或例行程序,同時仍能夠為該特殊用途而進行操作。
在若干實施例中,藉由電腦來處理本說明書中所述之操作,其中該電腦係藉由儲存於電腦記憶體、或透過電腦網路取得之一或更多電腦程式而選擇性地致能或配置。當透過電腦網路取得資料時,可藉由電腦網路上的其他電腦(例如雲端的計算資源等)來處理該資料。
在一些實施例中,本說明書中所述之方法係製作為非暫態電腦可讀媒體上的電腦可讀碼。非暫態電腦可讀媒體係為儲存之後藉由電腦系統讀取之資料的任何資料儲存硬體單元(例如:記憶體裝置等)。非暫態電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS, network attached storage)、唯讀記憶體(ROM, read-only memory)、隨機存取記憶體(RAM, random access memory)、光碟唯讀記憶體(CD-ROMs, compact disc-ROMs)、可錄式光碟(CD-Rs, CD-recordables)、可覆寫式光碟(CD-RWs, CD-rewritables)、磁帶、及其他光學的與非光學的資料儲存硬體單元。在若干實施例中,非暫態電腦可讀媒體包含電腦可讀的有形媒體,其分散於網路連接之電腦系統,俾以分散的方式儲存及執行電腦可讀碼。
儘管以特定順序呈現上述若干方法操作,但應理解,在許多實施例中,其他庶務操作係在方法操作之間執行,或調整方法操作以使其在略為不同的時間發生,或可將方法操作分散於允許方法操作發生在不同區間的系統中,或以與上述順序不同之順序來執行方法操作。
更應注意,在各種實施例中,在不悖離本揭露內容中所述之各種實施例中所述的範圍之情況下,上述任何實施例的一或更多特徵係與任何其他實施例的一或更多特徵結合。
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及修改。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節,而是可在所附請求項的範圍及均等物之內加以修改。
10‧‧‧電漿系統
11‧‧‧電漿腔室
12‧‧‧上部電極組件
14‧‧‧側壁
16‧‧‧轉接凸緣
17‧‧‧底表面
18A‧‧‧隔板
18B‧‧‧隔板
19‧‧‧頂表面
20A‧‧‧泵浦
20B‧‧‧泵浦
21‧‧‧外部側表面/外表面
22‧‧‧下部電極
24‧‧‧介電體
25‧‧‧殼體
27A‧‧‧開口
27B‧‧‧開口
28‧‧‧射頻桿
29A‧‧‧碗形結構
29B‧‧‧卡盤支撐柱
30‧‧‧射頻桿
31‧‧‧射頻鞘
33‧‧‧中空空間
43‧‧‧阻抗匹配網路
44A‧‧‧部分
44B‧‧‧部分
45‧‧‧馬達驅動組件
47‧‧‧線性滑軌
49‧‧‧電源
51‧‧‧RF產生器
53‧‧‧連接機構
1002‧‧‧中央軸
50‧‧‧柵體
O1~On‧‧‧開口
W‧‧‧晶圓
102‧‧‧側壁
104‧‧‧內表面
106‧‧‧頂表面
108‧‧‧內部底表面
110‧‧‧直徑
120‧‧‧底表面
130‧‧‧傳導路徑
P1~P6‧‧‧泵浦
202‧‧‧距離
204‧‧‧高度
302‧‧‧開口
304‧‧‧壓力圖
306‧‧‧壓力圖
400‧‧‧曲線圖
500‧‧‧俯視圖
510‧‧‧俯視圖
520‧‧‧俯視圖
522A‧‧‧隔板
522B‧‧‧隔板
524A‧‧‧壓力標繪圖
524B‧‧‧壓力標繪圖
526A‧‧‧壓力標繪圖
526B‧‧‧壓力標繪圖
528A‧‧‧壓力標繪圖
528B‧‧‧壓力標繪圖
550‧‧‧隔板
552‧‧‧開口
560‧‧‧處理器
562‧‧‧馬達驅動組件
564‧‧‧連接機構
600‧‧‧曲線圖
C1‧‧‧曲線
C2‧‧‧曲線
C3‧‧‧曲線
700‧‧‧電漿系統
702‧‧‧電漿系統
704‧‧‧電漿系統
722A‧‧‧鐘擺閥
722B‧‧‧鐘擺閥
810‧‧‧頂表面
902‧‧‧管凸緣
1003‧‧‧曲線圖
1004‧‧‧曲線
1006‧‧‧曲線
1102‧‧‧電漿系統
1106A‧‧‧RF供應路徑
1106B‧‧‧RF返回路徑
1108‧‧‧主機電腦系統
1105‧‧‧中空空間
1120A‧‧‧流體管線
1120B‧‧‧流體管線
1122‧‧‧氣體管線
1202‧‧‧固定支撐件
1204‧‧‧間隙
1702‧‧‧槽孔
E1‧‧‧邊緣
E2‧‧‧邊緣
1302‧‧‧C-側板
藉由結合隨附圖式參考以下描述,可理解本發明實施例。
圖1A係為電漿系統之實施例的側視圖。
圖1B係為繪示配置於下部電極與電漿腔室之內徑間的柵體之圖示。
圖1C係為電漿腔室之實施例的側視圖,其用以說明電漿腔室內的不同傳導區域及影響傳導性的各種參數。
圖2A係為圖示,其用以說明電漿腔室之直徑、陰極的外直徑、及電漿腔室之高度係如何改變以增加電漿腔室內之傳導性。
圖2B係為電漿腔室之實施例的俯視圖,其用以說明電漿腔室內之區域中的傳導性。
圖3A係為圖示,其用以說明卡盤支撐柱垂直裝設至電漿腔室中。
圖3B係為壓力圖之實施例,其用以說明在置於電漿腔室內的晶圓W頂表面之壓力的均勻性。
圖3C係為壓力圖之實施例,其用以說明在晶圓上方預定距離之壓力均勻度
圖4係為曲線圖,其用以說明一或更多泵浦係用以自電漿腔室移除電漿及/或電漿製程之殘餘物。
圖5A係為電漿系統之實施例的俯視圖,其用以說明在不具隔板時之卡盤支撐柱的使用。
圖5B係為當在電漿系統中未使用隔板時,在晶圓之頂表面的壓力標繪圖之實施例。
圖5C係為當在電漿系統中未使用隔板時,在距晶圓之頂表面預定距離的壓力標繪圖之實施例。
圖5D係為電漿系統之實施例的俯視圖,其用以說明具有隔板時之卡盤支撐柱的使用。
圖5E係為當在電漿系統中使用隔板時,在晶圓之頂表面的壓力標繪圖之實施例。
圖5F係為當在電漿系統中使用隔板時,在距晶圓之頂表面預定距離的壓力標繪圖之實施例。
圖5G係為電漿系統之實施例的俯視圖,其用以說明具有另外的隔板時之卡盤支撐柱的使用。
圖5H係為當在電漿系統中使用其他的隔板時,在晶圓之頂表面的壓力標繪圖之實施例。
圖5I係為當在電漿系統中使用其他的隔板時,在距晶圓之頂表面預定距離的壓力標繪圖之實施例。
圖5J係為隔板之等角視圖,其用以說明以處理器對隔板的控制。
圖6係為曲線圖之實施例,其用以說明在使用提動閥與未使用提動閥的情況下,氣體自電漿腔室至電漿腔室的外部之傳導性的控制量。
圖7A係為電漿系統之實施例的等角視圖,其用以說明卡盤支撐柱係經由轉接凸緣之內部底表面內所形成之開口而嵌入,而位於由電漿腔室之側壁所包圍的內部容積內。
圖7B係為電漿系統之實施例的側視圖,其用以說明卡盤支撐柱周圍之側壁的安裝情形,及碗形結構的安裝情形。
圖7C係為電漿系統之實施例的側視圖,其用以說明上部電極系統安裝至側壁的情形。
圖8A係為側壁之實施例的等角視圖。
圖8B係為轉接凸緣之實施例的等角視圖。
圖9係為相互接合的側壁及轉接凸緣的等角視圖。
圖10A係為卡盤支撐柱之實施例的側視圖,該卡盤支撐柱係自電漿腔室之底部部分垂直地裝設至電漿腔室。
圖10B係為曲線圖,其用以說明相較於懸臂式的柄支撐件,在使用垂直地對稱於中央軸之卡盤支撐柱的情況下,蝕刻速率係更加均勻。
圖11係為電漿系統之實施例的圖示,其用以說明對稱的射頻(RF, radio frequency)供應路徑及對稱的RF返回路徑。
圖12係為圖11的電漿系統之實施例的圖示,其用以說明下部電極在晶圓裝載至下部電極上之期間的運送位置。
圖13係為圖11的電漿系統之實施例的圖示,其用以說明下部電極在晶圓之處理期間的位置。
10‧‧‧電漿系統
11‧‧‧電漿腔室
12‧‧‧上部電極組件
14‧‧‧側壁
16‧‧‧轉接凸緣
17‧‧‧底表面
18A‧‧‧隔板
18B‧‧‧隔板
19‧‧‧頂表面
20A‧‧‧泵浦
20B‧‧‧泵浦
21‧‧‧外部側表面/外表面
22‧‧‧下部電極
24‧‧‧介電體
25‧‧‧殼體
27A‧‧‧開口
27B‧‧‧開口
28‧‧‧射頻桿
29A‧‧‧碗形結構
29B‧‧‧卡盤支撐柱
30‧‧‧射頻桿
31‧‧‧射頻鞘
33‧‧‧中空空間
43‧‧‧阻抗匹配網路
44A‧‧‧部分
44B‧‧‧部分
45‧‧‧馬達驅動組件
47‧‧‧線性滑軌
49‧‧‧電源
51‧‧‧RF產生器
53‧‧‧連接機構
1002‧‧‧中央軸

Claims (20)

  1. 一種用於增加電漿腔室內的傳導之均勻性的系統,其包含: 側壁; 卡盤支撐柱; 下部電極,其位於該側壁所包圍之殼體內,其中該卡盤支撐柱支撐該下部電極;及 轉接凸緣,其設置於該側壁之下方且鄰近該側壁,其中該轉接凸緣包含開口,其中該轉接凸緣之開口係與該下部電極共軸而設置,以使該卡盤支撐柱通過該轉接凸緣之開口,且減少對於該電漿腔室內之殘餘材料自該下部電極至該轉接凸緣內所形成之一或更多真空開口之傳導的阻抗。
  2. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,其中該卡盤支撐柱包含中空空間,該系統更包含: 射頻(RF, radio frequency)桿,其經由該轉接凸緣之開口及該中空空間而延伸至該電漿腔室內之該下部電極,以將RF功率提供至該下部電極;或 氣體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極內所形成之一或更多入口,以供應一或更多氣體來改變該下部電極的溫度;或 流體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極,以供應流體來加熱或冷卻該下部電極;或 導體,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極附近的熱電偶,以量測該下部電極的溫度;或 舉升桿,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至嵌入該下部電極內的舉升銷,其中該舉升銷係配置以相對於該電漿腔室而以垂直方向移動,以改變相對於該下部電極之晶圓的高度。
  3. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,其中該側壁具有一直徑,該直徑係大於用以處理具有300毫米之直徑的晶圓之電漿腔室的直徑。
  4. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含與該等真空開口介面接合的複數真空泵浦,其中該等真空泵浦係對稱於該下部電極而設置。
  5. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含設置於該等真空開口之頂部的複數隔板,其中該等隔板係配置以相對於該等真空開口而垂直移動,以控制在鄰近該轉接凸緣之區域的該傳導及壓力。
  6. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,更包含安裝於該下部電極與該側壁間的柵體,以控制該電漿腔室內的該傳導及壓力。
  7. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,更包含安裝於該卡盤支撐柱之頂部的碗形結構,其中該碗形結構支撐該下部電極,且係設置於該卡盤支撐柱與該下部電極之間。
  8. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,更包含線性驅動組件,其配置以相對於該側壁而以垂直方向移動該卡盤支撐柱,以控制該電漿腔室之該下部電極與上部電極之間所形成的間隙。
  9. 如申請專利範圍第1項之用於增加電漿腔室內的傳導之均勻性的系統,其中該卡盤支撐柱包含中空空間,該系統更包含: 射頻(RF, radio frequency)桿,其經由該轉接凸緣之開口及該中空空間而延伸至該電漿腔室內之該下部電極,以將RF功率提供至該下部電極;及 阻抗匹配網路,其配置以附接至該RF桿的底部。
  10. 一種用於增加電漿腔室內的傳導之均勻性的系統,其包含: 側壁; 卡盤支撐柱; 感應耦合電漿(ICP, inductively coupled plasma)電路組件,其設置於該側壁之頂部; 下部電極,其位於該側壁所包圍之殼體內,其中該卡盤支撐柱支撐該下部電極;及 轉接凸緣,其設置於該側壁之下方且鄰近該側壁,其中該轉接凸緣包含開口,其中該轉接凸緣之開口係與該下部電極共軸而設置,以使該卡盤支撐柱通過該轉接凸緣之開口,且減少對於該電漿腔室內之殘餘材料自該下部電極至該轉接凸緣內所形成之一或更多真空開口之傳導的阻抗。
  11. 如申請專利範圍第10項之用於增加電漿腔室內的傳導之均勻性的系統,其中該卡盤支撐柱包含中空空間,該系統更包含: 射頻(RF, radio frequency)桿,其經由該轉接凸緣之開口及該中空空間而延伸至該電漿腔室內之該下部電極,以將RF功率提供至該下部電極;或 氣體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極內所形成之一或更多入口,以供應一或更多氣體來改變該下部電極的溫度;或 流體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極,以供應流體來加熱或冷卻該下部電極;或 導體,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極附近的熱電偶,以量測該下部電極的溫度;或 舉升桿,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至嵌入該下部電極內的舉升銷,其中該舉升銷係配置以相對於該電漿腔室而以垂直方向移動,以改變相對於該下部電極之晶圓的高度。
  12. 如申請專利範圍第10項之用於增加電漿腔室內的傳導之均勻性的系統,其中該側壁具有一直徑,該直徑係大於用以處理具有300毫米之直徑的晶圓之電漿腔室的直徑。
  13. 如申請專利範圍第10項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含與該等真空開口介面接合的複數真空泵浦,其中該等真空泵浦係對稱於該下部電極而設置。
  14. 如申請專利範圍第10項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含設置於該等真空開口之頂部的複數隔板,其中該等隔板係配置以相對於該等真空開口而垂直移動,以控制在鄰近該轉接凸緣之區域的該傳導及壓力。
  15. 如申請專利範圍第10項之用於增加電漿腔室內的傳導之均勻性的系統,更包含安裝於該下部電極與該側壁間的柵體,以控制該電漿腔室內的該傳導及壓力。
  16. 一種用於增加電漿腔室內的傳導之均勻性的系統,其包含: 側壁; 卡盤支撐柱; 電容耦合電漿(CCP, capacitively coupled plasma)電路組件,其設置於該側壁之頂部; 下部電極,其位於該側壁所包圍之殼體內,其中該卡盤支撐柱支撐該下部電極;及 轉接凸緣,其設置於該側壁之下方且鄰近該側壁,其中該轉接凸緣包含開口,其中該轉接凸緣之開口係與該下部電極共軸而設置,以使該卡盤支撐柱通過該轉接凸緣之開口,且減少對於該電漿腔室內之殘餘材料自該下部電極至該轉接凸緣內所形成之一或更多真空開口之傳導的阻抗。
  17. 如申請專利範圍第16項之用於增加電漿腔室內的傳導之均勻性的系統,其中該卡盤支撐柱包含中空空間,該系統更包含: 射頻(RF, radio frequency)桿,其經由該轉接凸緣之開口及該中空空間而延伸至該電漿腔室內之該下部電極,以將RF功率提供至該下部電極;或 氣體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極內所形成之一或更多入口,以供應一或更多氣體來改變該下部電極的溫度;或 流體管線,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極,以供應流體來加熱或冷卻該下部電極;或 導體,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至該下部電極附近的熱電偶,以量測該下部電極的溫度;或 舉升桿,其經由該轉接凸緣之開口及該RF桿之中空空間而延伸至嵌入該下部電極內的舉升銷,其中該舉升銷係配置以相對於該電漿腔室而以垂直方向移動,以改變相對於該下部電極之晶圓的高度。
  18. 如申請專利範圍第16項之用於增加電漿腔室內的傳導之均勻性的系統,其中該側壁具有一直徑,該直徑係大於用以處理具有300毫米之直徑的晶圓之電漿腔室的直徑。
  19. 如申請專利範圍第16項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含與該等真空開口介面接合的複數真空泵浦,其中該等真空泵浦係對稱於該下部電極而設置。
  20. 如申請專利範圍第16項之用於增加電漿腔室內的傳導之均勻性的系統,其中該一或更多真空開口包含複數真空開口,該系統更包含設置於該等真空開口之頂部的複數隔板,其中該等隔板係配置以相對於該等真空開口而垂直移動,以控制在鄰近該轉接凸緣之區域的該傳導及壓力。
TW105111722A 2015-04-17 2016-04-15 具有對稱傳導與射頻傳輸用直立式支撐柱之腔室 TWI687963B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562149493P 2015-04-17 2015-04-17
US62/149,493 2015-04-17
US15/068,508 US10049862B2 (en) 2015-04-17 2016-03-11 Chamber with vertical support stem for symmetric conductance and RF delivery
US15/068,508 2016-03-11

Publications (2)

Publication Number Publication Date
TW201705189A true TW201705189A (zh) 2017-02-01
TWI687963B TWI687963B (zh) 2020-03-11

Family

ID=57129910

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109103472A TWI777130B (zh) 2015-04-17 2016-04-15 提供對稱射頻功率傳輸的系統、電漿腔室、射頻桿、及射頻功率的傳輸方法
TW105111722A TWI687963B (zh) 2015-04-17 2016-04-15 具有對稱傳導與射頻傳輸用直立式支撐柱之腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109103472A TWI777130B (zh) 2015-04-17 2016-04-15 提供對稱射頻功率傳輸的系統、電漿腔室、射頻桿、及射頻功率的傳輸方法

Country Status (5)

Country Link
US (3) US10049862B2 (zh)
JP (1) JP2016219790A (zh)
KR (2) KR102553248B1 (zh)
CN (2) CN109411323A (zh)
TW (2) TWI777130B (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP1546799S (zh) * 2015-06-12 2016-03-28
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP1564934S (zh) * 2016-02-26 2016-12-05
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
TWI693860B (zh) 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
WO2019003309A1 (ja) 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102361377B1 (ko) * 2017-06-27 2022-02-10 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102513417B1 (ko) * 2018-03-16 2023-03-27 삼성전자주식회사 반도체 소자의 제조장치
US10892142B2 (en) * 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN112204722A (zh) * 2018-07-07 2021-01-08 应用材料公司 用于高rf功率工艺的半导体处理装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD912572S1 (en) * 2019-04-15 2021-03-09 Edmond K. Chow Article for floral arrangements
CN113994451A (zh) 2019-06-07 2022-01-28 应用材料公司 无缝电导管
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN115053322A (zh) * 2020-02-04 2022-09-13 朗姆研究公司 等离子体处理系统中射频信号接地回路的优化
KR102486362B1 (ko) * 2020-11-17 2023-01-09 주식회사 유진테크 기판 처리 장치
CN114582693A (zh) * 2020-11-30 2022-06-03 中微半导体设备(上海)股份有限公司 等离子体处理装置及其末端执行器、边缘环及方法
JP7264976B2 (ja) * 2020-12-08 2023-04-25 セメス カンパニー,リミテッド 基板処理装置及び基板支持ユニット
CN114724913A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种改善刻蚀均匀性的双挡板装置
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
US20240186123A1 (en) * 2022-12-02 2024-06-06 Applied Materials, Inc. Heated Pedestal With Impedance Matching Radio Frequency (RF) Rod

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3603726A1 (de) * 1986-02-06 1987-08-13 Siemens Ag Anordnung zum aetzen oder bestaeuben eines substrats
US5716451A (en) * 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR100625318B1 (ko) * 2004-10-08 2006-09-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US20090061544A1 (en) * 2007-08-30 2009-03-05 Applied Materials, Inc. Trajectory based control of plasma processing
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
JP5759177B2 (ja) * 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US8438712B2 (en) * 2008-02-08 2013-05-14 Lam Research Corporation Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
EP2471065A4 (en) * 2009-08-26 2013-01-30 Veeco Instr Inc SYSTEM FOR PRODUCING A PATTERN ON MAGNETIC RECORDING MEDIA
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9267742B2 (en) * 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR101232200B1 (ko) * 2010-12-28 2013-02-12 피에스케이 주식회사 배플, 기판 처리 장치 및 그 처리 방법
KR101196422B1 (ko) * 2011-02-22 2012-11-01 엘아이지에이디피 주식회사 플라즈마 처리장치
US8900402B2 (en) * 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
JP6276697B2 (ja) * 2011-11-23 2018-02-07 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
KR101966800B1 (ko) * 2012-06-08 2019-08-13 세메스 주식회사 기판처리장치 및 방법
JP5975754B2 (ja) * 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US20150047785A1 (en) * 2013-08-13 2015-02-19 Lam Research Corporation Plasma Processing Devices Having Multi-Port Valve Assemblies
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP6296299B2 (ja) * 2014-09-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP2016051876A (ja) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
KR102553248B1 (ko) 2023-07-06
KR20160124006A (ko) 2016-10-26
US10395902B2 (en) 2019-08-27
TW202025221A (zh) 2020-07-01
TWI687963B (zh) 2020-03-11
KR20230104850A (ko) 2023-07-11
US20190371579A1 (en) 2019-12-05
CN106057624B (zh) 2018-11-13
TWI777130B (zh) 2022-09-11
CN109411323A (zh) 2019-03-01
US10665435B2 (en) 2020-05-26
US20160307743A1 (en) 2016-10-20
US10049862B2 (en) 2018-08-14
CN106057624A (zh) 2016-10-26
US20180323044A1 (en) 2018-11-08
JP2016219790A (ja) 2016-12-22

Similar Documents

Publication Publication Date Title
TWI777130B (zh) 提供對稱射頻功率傳輸的系統、電漿腔室、射頻桿、及射頻功率的傳輸方法
US10825656B2 (en) Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
CN102056394A (zh) 等离子体处理装置
US20230230804A1 (en) Process control for ion energy delivery using multiple generators and phase control
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20050066902A1 (en) Method and apparatus for plasma processing
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
TWI840683B (zh) 耦合環、饋送環系統及電漿電極組件
JP2023550342A (ja) 静磁場を使用するプラズマ一様性制御