KR102342796B1 - Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼 - Google Patents

Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼 Download PDF

Info

Publication number
KR102342796B1
KR102342796B1 KR1020217001822A KR20217001822A KR102342796B1 KR 102342796 B1 KR102342796 B1 KR 102342796B1 KR 1020217001822 A KR1020217001822 A KR 1020217001822A KR 20217001822 A KR20217001822 A KR 20217001822A KR 102342796 B1 KR102342796 B1 KR 102342796B1
Authority
KR
South Korea
Prior art keywords
chamber
aln
pvd
layer
gan
Prior art date
Application number
KR1020217001822A
Other languages
English (en)
Other versions
KR20210010655A (ko
Inventor
밍웨이 주
나그 비. 파티반드라
룽준 왕
다니엘 리 딜
비베크 아그라왈
아난타 수브라마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217041575A priority Critical patent/KR102455498B1/ko
Publication of KR20210010655A publication Critical patent/KR20210010655A/ko
Application granted granted Critical
Publication of KR102342796B1 publication Critical patent/KR102342796B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/347Thickness uniformity of coated layers or desired profile of target erosion
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1856Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising nitride compounds, e.g. GaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0075Processes for devices with an active region comprising only III-V compounds comprising nitride compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Led Devices (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

GaN-기반 광전자 및 전자 장치를 위한 산소 제어된 PVD AlN 버퍼가 개시된다. 산소-제어된 방식으로 GaN-기반 광전자 및 전자 장치를 위한 PVD AlN 버퍼를 형성시키는 방법이 또한 개시된다. 예로서, GaN-기반 광전자 또는 전자 장치를 위한 알루미늄 니트라이드(AlN) 버퍼 층을 형성시키는 방법은 기판 상에 AlN 층을 반응성 스퍼터링시킴을 포함하고, 반응성 스퍼터링은 질소-함유 가스 또는 질소-함유 가스를 기반으로 한 플라즈마와 물리 기상 증착(PVD) 챔버에 수용된 알루미늄-함유 타겟을 반응시킴을 포함한다. 이러한 방법은 산소를 AlN 층에 도입함을 추가로 포함한다.

Description

GAN-기반 광전자 및 전자 장치를 위한 산소 제어된 PVD ALN 버퍼{OXYGEN CONTROLLED PVD ALN BUFFER FOR GAN-BASED OPTOELECTRONIC AND ELECTRONIC DEVICES}
관련 출원에 대한 상호-참조
본 출원은 2013년 3월 14일자 출원된 미국 가출원 제61/785,128호의 우선권을 주장하고, 상기 출원의 전체는 본원에 참조로 포함된다.
1) 분야
본 발명의 구체예는 III족-니트라이드 물질 분야, 특히, 물리 기상 증착(physical vapor deposition: PVD) 형성된 알루미늄 니트라이드 버퍼 층을 지니는 갈륨 니트라이드-기반 광전자 또는 전자 장치의 제조에 관한 것이다.
2) 관련 기술의 설명
III-V족 물질은 반도체 및 발광 다이오드(light-emitting diode: LED)와 같은 관련 산업에서 점점 더 중요한 역할을 하고 있다. 흔히, III-V족 물질은 결함 또는 균열의 형성 없이 상이한 기판 상에서 성장하거나 증착시키는 것(헤테로에피택시(heteroepitaxy)로 알려짐)이 어렵다. 예를 들어, 선택된 필름, 예를 들어, 갈륨 니트라이드 필름의 고품질의 표면 보존은 순차적으로 형성된 물질 층들의 스택을 사용하는 다수 적용에서는 간단하지 않다. 한 가지 방법은 기판과 장치 층 사이에 하나 이상의 버퍼 층을 포함하는 것이었다. 그러나, III-V족 물질은 흔히 공정 조건에 민감하고 제조 공정의 특정 기간에서 그러한 조건을 피하도록 주의해야 한다. 그러나, 잠재적인 손상 조건과 민감성 III-V족 필름의 상호작용을 피하는 것이 또한 다수 적용에서는 간단하지 않다.
본 발명의 하나 이상의 구체예는 물리 기상 증착 (PVD)-형성된 알루미늄 니트라이드 버퍼 층에 관한 것이다.
한 가지 구체예에서, GaN-기반 광전자 또는 전자 장치를 위한 알루미늄 니트라이드(aluminum nitride: AlN) 버퍼 층을 형성시키는 방법은 기판 상에 AlN 층을 반응성 스퍼터링(reactive sputtering)시킴을 포함하고, 상기 반응성 스퍼터링은 물리 기상 증착(PVD) 챔버에 수용된 알루미늄-함유 타겟을 질소-함유 가스 또는 질소-함유 가스를 기반으로 한 플라즈마와 반응시킴을 포함한다. 이러한 방법은 추가로 AlN 층에 산소를 도입함을 포함한다.
또 다른 구체예에서, GaN-기반 광전자 또는 전자 장치를 위한 물질 스택은 기판, 및 기판 상에 배치되는 알루미늄 니트라이드(AlN) 버퍼 층을 포함한다. AlN 층은 약 1E18 내지 1E23 cm-3 범위의 산소 농도를 지닌다.
또 다른 구체예에서, 발광 다이오드(LED) 장치는 기판, 및 기판 상에 배치되는 알루미늄 니트라이드(AlN) 버퍼 층을 포함한다. AlN 층은 약 1E18 내지 1E23 cm-3 범위의 산소 농도를 지닌다.
또 다른 구체예에서, GaN-기반 전자 장치는 기판, 및 기판 상에 배치되는 알루미늄 니트라이드(AlN) 버퍼 층을 포함한다. AlN 층은 약 1E18 내지 1E23 cm-3 범위의 산소 농도를 포함한다.
또 다른 구체예에서, GaN-기반 광전자 또는 전자 장치를 위한 알루미늄 니트라이드(AlN) 버퍼 층을 형성시키기 위한 챔버는 1E-7 torr 또는 그 미만의 높은 기저 진공 및 고온에서의 낮은 증가 속도를 가능하게 하는 펌핑 시스템 및 챔버 냉각 설계를 포함한다. 챔버는 또한 웨이퍼 내에서 그리고 이들 사이에서 캐리어 전체에 걸친 AlN 필름의 일관된 타겟 부식 및 균일한 증착을 가능하게 하도록 구성된 정단면 부식 마그네트론 캐소드를 포함한다. 챔버는 또한 균일한 AlN 조성을 위해 챔버 내에서 O-함유 가스를 포함한 공정 가스의 균일한 분배를 가능하게 하도록 구성된 프로세스 키트(process kit) 및 가스 흐름 설계를 포함한다.
도 1은 본 발명의 하나 이상의 구체예에 따른 벤치마크 클러스터 툴 개략도, 벤치마크 LED 구조물, 및 벤치마크 시간-대-증착 플롯을 도시한 것이다.
도 2a는 본 발명의 한 가지 구체예에 따른 클러스터 툴 개략도 및 LED 구조물 제작을 위한 상응하는 온도 대 시간 플롯을 도시한 것이다.
도 2b는 본 발명의 한 가지 구체예에 따른 발광 다이오드(LED) 구조물 및 상응하는 시간-대-증착 플롯을 도시한 것이다.
도 3a-3c는 본 발명의 한 가지 구체예에 따른 PVD 챔버를 위한 프로세스 키트의 횡단면도를 도시한 것이다.
도 3d는 본 발명의 한 가지 구체예에 따른 PVD 챔버를 위한 전력 전달 공급원의 횡단면도를 도시한 것이다.
도 4는 본 발명의 한 가지 구체예에 따른 III족-니트라이드 물질의 제조에 적합한 MOCVD 챔버의 개략적 횡단면도이다.
도 5는 본 발명의 한 가지 구체예에 따른 III족-니트라이드 물질의 제조에 적합한 HVPE 챔버의 개략적 횡단면도이다.
도 6은 본 발명의 한 가지 구체예에 따른 예시적인 컴퓨터 시스템의 블록 다이어그램을 도시한 것이다.
물리 기상 증착(PVD) 형성된 알루미늄 니트라이드(AlN) 버퍼 층으로 갈륨 니트라이드-기반 광전자 또는 전자 장치를 제조하는 것이 기술된다. 하기 설명에서, 본 발명의 구체예들의 철저한 이해를 제공하기 위해 다수의 특정 세부 사항들, 예컨대, 공정 챔버 구성 및 물질 체계가 기술된다. 본 발명의 구체예들은 이러한 특정 세부 사항들 없이 실시될 수 있음이 당업자에게 명백할 것이다. 다른 예시들에서, 특정 다이오드 구성과 같은 잘 알려진 양태들은 본 발명의 구체예들을 불필요하게 모호하지 않게 하기 위해 상세하게 기재되지 않는다. 또한, 도면들에 나타나 있는 다양한 구체예들은 예시적인 도면들이며, 반드시 축적에 따라 도시되지 않음을 이해해야 한다. 추가로, 다른 배열 및 구성이 본원의 구체예에 명확하게 개시되지 않을 수 있지만, 본 발명의 사상 및 범위 내에서 또한 고려된다.
하나 이상의 구체예는 갈륨 니트라이드 (GaN)-기반 광전자 및 전자 장치를 위한 산소-제어된 물리 기상 증착(PVD) 기반 알루미늄 니트라이드(AlN) 버퍼 층에 관한 것이다. 구체예는 또한 PVD AlN 필름 상에 층을 형성시키는데 사용되는 금속 유기 화학 기상 증착(metal organic chemical vapor deposition: MOCVD) 공정을 포함할 수 있다. 구체예는 발광 다이오드(LED) 또는 전력 장치에 관한 것일 수 있다. 하나 이상의 구체예에 상응하는 특징부는 사파이어 기판, 패턴화된 사파이어 기판, Si 기판, XRD, 웨이퍼 휨(wafer bowing), 필름 응력, 및 전위를 포함할 수 있거나 필요로 할 수 있다.
PVD AlN은 사파이어 또는 규소 기판과 같은 상이한 기판 상에서 GaN-기반 LED 및 전력 장치를 위한 버퍼 층으로서 사용될 수 있다. PVD AlN 층의 사용은 AlN 버퍼의 상부 상에서 성장된 갈륨 니트라이드 (GaN) 층의 물질 품질을 개선시킬 수 있다. 개선된 GaN은 개선된 장치 성능(예, 밝기, IQE, 장치 누설 및 LED의 경우에 ESD, 및 전력 장치의 경우에 더 높은 항복 전압)뿐만 아니라 재현성을 달성하는데 사용될 수 있다.
문맥을 알도록 제공하기 위해, 사파이어 기판 상의 GaN의 전형적인 MOCVD 성장에서, PVD AlN 버퍼의 사용은 베이킹(baking) 전 기판의 작업, 저온 GaN 버퍼 및 큰 온도 증가 부분을 막고, 빠른 성장 및 더 얇은 장치 층을 가능하게 할 수 있다. 가공 시간은 모두 합쳐서 사이클 시간을 1 내지 3시간까지 절약함으로써 단축될 수 있다. AlN 층이 규소 기판을 갈륨 공격으로부터 보호할 필요가 있는 규소 상의 GaN의 성장의 경우, PVD AlN은 에피택셜 공정과 챔버 세정 시간을 합쳐서 약 3 내지 6시간을 절약할 수 있다. 그러한 공정 시간 단축은 시스템 처리량을 크게 향상시킬 수 있다. 따라서, 본 발명의 구체예에 따르면, PVD AlN의 결정 품질은 이의 상부 상에서 성장된 GAN의 물질 품질에 직접적으로 영향을 미친다.
따라서, 본원에 기재된 바와 같이, 본 발명의 하나 이상의 구체예는 우수한 GaN 특성을 반복가능하게 야기하는 AlN 버퍼 층을 달성하는 공정 세부 사항, 시스템, 챔버 및 하드웨어 구성을 제공한다.
더욱 특히, 본원에 기재된 본 발명의 구체예는 화학적 결합, 결정 구조, 그레인 크기 및 모양, 및/또는 AlN/기판 인터페이스의 형태, AlN 벌크 필름 및 AlN 표면을 포함한 특성을 개질시키기 위하여 AlN 증착 전에, 그 동안에, 또는 그 후에 산소-함유 가스의 도입을 통해 산소로 AlN 층을 도핑함을 포함한다. 한 가지 그러한 구체예에서, 산소 농도뿐만 아니라 상응하는 PVD 챔버로의 산소 운반체 도입의 시간 및 기간(예를 들어, AlN 형성을 위한)은 그 위에 증착되는 후속적으로 형성된 GaN 층의 품질에 영향을 준다.
한 가지 구체예에서, 적용되는 변수는 출발 기판이 평면인지 패턴화되었는지(예를 들어, 사파이어의 경우에)의 여부에 좌우하여 달라진다. 산소 농도, 유량, 도입 시간, 및 다른 파라미터(예, 온도, 두께 등)가 최적화되는 경우, 매우 고품질의 AlN 필름의 성장이 가능하다. 예를 들어, 특정 구체예에서, < 15 arcsec의 XRD (002) FWHM 및 < 2 nm(제곱 평균)의 표면 거칠기를 지니는 AlN 필름이 증착될 수 있다. 그 결과, 특정 구체예에서, 그러한 버퍼 층을 지니는 상이한 기판 상의 GaN 성장은 훨씬 감소된 전위 밀도 및 더 협소한 XRD FWHM(예, (002) < 100 arcsec, (102) < 150 arcsec)을 지닌다. 특정 구체예에서, 전위 밀도는 약 5E8 결함/cm2 미만이다. 한 가지 구체예에서, (002)에 대한 XRD FWHM은 약 50-250 arcsec의 범위이다. 한 가지 구체예에서, (102)에 대한 XRD FWHM은 약 70-250 arcsec의 범위이다. 본 발명의 구체예는 또한 높은 증착 속도를 가능하게 하는 최적화된 하드웨어, AlN 인터페이스의 균일한 개질을 가능하게 하는 온도 및 가스 조성의 높은 정밀 제어, 및 웨이퍼 내에서 그리고 웨이퍼 사이에서 달성되는 동일한 고품질의 GaN을 보장하는 벌크 및 표면 특성에 관한 것이다.
LED 또는 전력 장치의 제조 방법은 기판과 비-도핑된 및/또는 도핑된 갈륨 니트라이드의 장치 층 사이에 갈륨 니트라이드의 버퍼 층을 형성시킴을 포함할 수 있다. 본원에 기재된 구체예에서, 알루미늄 니트라이드 버퍼 층이 기판과 비-도핑된 및 도핑된 갈륨 니트라이드의 장치 층 사이에 그러한 갈륨 니트라이드 버퍼 층 대신에 사용된다. 알루미늄 니트라이드 층은 PVD 공정에서 스퍼터 증착에 의해 형성될 수 있다. 이는 전형적으로 금속-유기 기상 증착(MOCVD) 챔버, 분자선 에피택시(molecular beam epitaxy: MBE) 챔버, 또는 하이드라이드 기상 에피택시(hydride vapor phase epitaxy: HVPE) 챔버에서 형성되는 III족-니트라이드 버퍼 층의 전형적인 제조와 상이하다. 알루미늄 니트라이드 층은 PVD 챔버에 수용된 알루미늄 니트라이드 타겟으로부터 비-반응성 스퍼터링에 의해 형성될 수 있거나, 대안적으로, PVD 챔버에서 수용되고 질소-함유 가스 또는 질소-함유 가스를 기반으로 한 플라즈마와 반응되는 알루미늄 타겟으로부터 반응성 스퍼터링에 의해 형성될 수 있다.
하나 이상의 구체예에 따르면, GaN-기반 장치를 위한 PVD AlN 버퍼 층에 대한 공정 조건이 본원에 기재된다. 본원에 기재된 구체예들 중 하나 이상은 LED 또는 전력 장치 제조에 사용되는 다중-챔버 제조 툴에서 더 높은 처리량을 가능하게 할 수 있다. 또한, 갈륨 니트라이드 버퍼 층 대신에 PVD-형성된 알루미늄 니트라이드 층을 포함함으로써, 비-도핑된 및 도핑된 갈륨 니트라이드의 장치 층이 전체적으로 얇아질 수 있다. 특정 예에서, 비-도핑된 부분은 얇아지거나 완전히 제거될 수 있다. 게다가, 수용 기판, 예컨대, 사파이어 기판의 예비 스퍼터 세정은 알루미늄 니트라이드 층을 증착시키는데 사용되는 것과 동일한 PVD 증착 챔버에서 수행될 수 있다. 추가로, LED 또는 전력 장치 제조의 전체 열 예산(thermal budget)이 절감될 수 있는데, 그 이유는 PVD 알루미늄 니트라이드 층이 300 섭씨 온도 미만의 온도에서 형성될 수 있기 때문이다. 대조적으로, 전형적인 갈륨 니트라이드 또는 알루미늄 니트라이드 MOCVD 버퍼 층은 500-600 섭씨 온도에서 형성된다. 본원에 기재된 구체예들 중 하나 이상은 비-도핑된 및/또는 n-형 도핑된 갈륨 니트라이드와 같은 물질의 경우에 더 빠른 증착 속도, 예를 들어, 성장 속도의 2배를 가능하게 할 수 있다. 일부 구체예에서 비-도핑된 및/또는 n-형 도핑된 갈륨 니트라이드 층이 알루미늄 니트라이드(AlN) 버퍼 층 상에서 형성되는데 이것이 그 위에 비-도핑된 및/또는 n-형 도핑된 갈륨 니트라이드 층을 성장시키기 위한 개선된 결정 배향 및 형태학적 관계를 제공할 수 있기 때문에 더 빠른 속도가 달성될 수 있다. 본원에 기재된 구체예들 중 하나 이상은 PVD-형성된 알루미늄 니트라이드 버퍼 층 상에 갈륨 니트라이드를 형성시킴으로써 갈륨 니트라이드 결정의 품질의 개선을 가능하게 할 수 있다.
본 발명의 구체예는 현재 개시되어 있는 시스템 및 형태의 연구 동안 개발된 벤치마크 시스템 또는 형태에 비해서 개선을 제공할 수 있다. 예를 들어, 도 1은 본 발명의 하나 이상의 구체예에 따른 벤치마크 클러스터 툴 개략도, 벤치마크 LED 구조물, 및 벤치마크 시간-대-증착 플롯을 도시한 것이다.
도 1을 참조하면, 벤치마크 클러스터 툴(100)은 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102) (MOCVD1: u-GaN/n-GaN), 다중 양자 우물(multiple quantum well: MQW) MOCVD 반응 챔버(104) (MOCVD2: MQW), 및 p-형 갈륨 니트라이드 MOCVD 반응 챔버(106) (MOCVD3: p-GaN)를 포함한다. 벤치마크 클러스터 툴(100)은 로드 락(108), 캐리어 카세트(110), 및 대량 적용을 위한 임의의 추가 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(112)를 포함할 수 있고, 이들 모두는 도 1에 도시되어 있다.
벤치마크 LED 구조물(120)은 다양한 물질 층의 스택을 포함하고, 이들 다수는 III-V족 물질을 포함한다. 예를 들어, 벤치마크 LED 구조물(120)은 규소 또는 사파이어 기판(122)(기판: 사파이어, Si), 20 나노미터 두께의 버퍼 층(124) (LT 버퍼), 및 약 4마이크론 두께의 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)(u-GaN/n-GaN)을 포함한다. 버퍼 층(124)은 비교적 낮은 가공 온도에서 형성된 갈륨 니트라이드 층일 수 있다. 버퍼 층(124) 및 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)은 벤치마크 클러스터 툴(100)의 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서 형성된다. 벤치마크 LED 구조물(120)은 또한 30 - 500 나노미터 범위의 두께를 지니는 MQW 구조물(128)을 포함한다. MQW 구조물(128)은 벤치마크 클러스터 툴(100)의 MQW MOCVD 반응 챔버(104)에서 형성된다. 벤치마크 LED 구조물(120)은 또한 약 20 나노미터 두께의 p-형 갈륨 알루미늄 니트라이드 층(130) (p-AlGaN) 및 50 - 200 나노미터 범위의 두께를 지니는 p-형 갈륨 니트라이드 층(132) (p-GaN)을 포함한다. p-형 갈륨 알루미늄 니트라이드 층(130) 및 p-형 갈륨 니트라이드 층(132)은 벤치마크 클러스터 툴(100)의 p-형 갈륨 니트라이드 MOCVD 반응 챔버(106)에서 형성된다.
벤치마크 시간-대-증착 플롯(140)은 벤치마크 클러스터 툴(100)에서 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(104)에서 MQW 구조물(128)의 형성은 약 2시간의 성장 시간을 지닌다. 그리고, p-형 갈륨 니트라이드 MOCVD 반응 챔버(106)에서 p-형 갈륨 알루미늄 니트라이드 층(130) 및 p-형 갈륨 니트라이드 층(132)의 형성은 약 1시간의 성장 시간을 지닌다. 한 편, 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서 버퍼 층(124) 및 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)의 형성은 약 3.5시간의 성장 시간을 지닌다. 챔버(102)의 챔버 세정에는 추가 약 1시간이 필요할 수 있다. 따라서, 벤치마크 클러스터 툴(100)에서 벤치마크 LED 구조물(120)을 제조하기 위한 전체 사이클 시간은 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)의 사이클 시간에 좌우되고, 이는 약 4.5시간이다. 세정 시간은 세정 시간 및 회복 시간과 함께 정지(shut-down)를 위한 시간을 반드시는 아니지만 포함할 수 있음을 이해해야 한다. 또한, 세정은 모든 챔버의 사용 간에 수행될 수 없을 수 있으므로 상기는 평균을 나타낸다는 것을 이해해야 한다.
도 1과 관련하여 기재된 바와 같이, 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서 버퍼 층(124) 및 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)의 형성에 특이적인 LED 물질 증착을 위한 벤치마크 시간 순서가 이하에서 제공된다. 예를 들어, 약 3.5시간의 성장 시간은 사파이어 기판의 10분의 고온 처리, 버퍼 층의 5분의 저온 형성, 10분의 버퍼 어닐링 작업, 30분의 성장 회복 작업, 2시간의 비-도핑된/n-형 갈륨 니트라이드 조합 층 형성 작업, 및 30분의 온도 증가율 및 안정화 작업(예, 온도 증가율 2-3℃/s)으로 나뉘어 진다.
도 1과 관련하여 기재된 벤치마크 시스템 및 방법을 참조하면, 벤치마크 방법은 LED의 각각의 기능 층을 위한 불균형 시간 흐름을 초래할 수 있다. 예를 들어, 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서 버퍼 층(124) 및 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)의 형성은 3.5시간이고, MQW MOCVD 반응 챔버(104)에서 MQW 구조물(128)의 형성은 2시간이고, p-형 갈륨 니트라이드 MOCVD 반응 챔버(106)에서 p-형 갈륨 알루미늄 니트라이드 층(130) 및 p-형 갈륨 니트라이드 층(132)의 형성은 1시간이다. 게다가, 상기 언급된 바와 같이, 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서의 작업 사이에는 추가 약 1시간의 챔버 세정(아마도 펌프-다운 시간 포함)이 필요할 수 있다. 그러한 추가 챔버 세정은 기판 오염을 막기 위해 필요할 수 있다. 따라서, 3개의 MOCVD 챔버를 지니는 구조물(120)의 점진적 성장은 MQW MOCVD 반응 챔버(104) 및 p-형 갈륨 니트라이드 MOCVD 반응 챔버(106)에 대하여 상당한 유휴 시간을 야기하여 시스템(100)의 전체 처리량을 감소시킨다.
본 발명의 한 가지 양태에서, LED 또는 전력 장치 구조물을 제조하기 위한 클러스터 시스템의 처리량은 상기 기재된 MOCVD 물질 성장 기능 또는 작업 중 하나 또는 이의 일부를 PVD 스퍼터링 증착 기능 또는 작업으로 대체함으로써 개선될 수 있다. 예를 들어, 도 2a는 본 발명의 한 가지 구체예에 따른 LED 구조물 제조에 대한 클러스터 툴 개략도 및 상응하는 온도 대 시간 플롯을 도시한 것이다. 도 2b는 본 발명의 한 가지 구체예에 따른 LED 구조물 및 상응하는 시간-대-증착 플롯을 도시한 것이다.
도 2a를 참조하면, 클러스터 툴(200)은 PVD 알루미늄 니트라이드 스퍼터 챔버(202) (PVD AlN), 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(204) (MOCVD1: u-GaN/n-GaN), 다중 양자 우물(MQW) MOCVD 반응 챔버(206) (MOCVD2: MQW), 및 p-형 갈륨 니트라이드 MOCVD 반응 챔버(208) (MOCVD3: p-GaN)를 포함한다. 클러스터 툴(200)은 또한 로드 락(210), 캐리어 카세트(212), 및 이송 챔버(214)를 포함할 수 있고, 이들 모두는 도 2a에 도시되어 있다.
따라서, 본 발명의 한 가지 구체예에 따르면, 다중-챔버 시스템은 금속 또는 화합물 알루미늄의 타겟을 지니는 PVD 챔버, 및 비-도핑된 및/또는 n-형 갈륨 니트라이드 를 증착시키도록 구성된 챔버 또는 이 둘 모두를 포함한다. 한 가지 구체예에서, PVD 챔버의 타겟은 알루미늄 니트라이드로 구성된다. 그러한 구체예에서, 반응성 스퍼터링은 이용될 필요가 없는데, 그 이유는 타겟이 증착에 요망되는 물질과 동일한 물질로 구성되기 때문이다. 그러나, 대안적인 구체예에서, 알루미늄으로 구성된 타겟이 사용되고, 알루미늄 니트라이드는 질소 공급원에 의해서 또는 질소 공급원의 존재 하에서 알루미늄 타겟으로부터 반응성으로 스퍼터링된다. 한 가지 구체예에서, 비-도핑된 또는 n-형 갈륨 니트라이드를 증착시키도록 구성된 챔버는 도 2a에 도시된 바와 같은 MOCVD 챔버이다. 그러나, 대안적인 구체예에서, 비-도핑된 또는 n-형 갈륨 니트라이드를 증착시키도록 구성된 챔버는 하이드라이드 기상 에피택시(HVPE) 챔버이다. 한 가지 구체예에서, PVD 챔버 및 비-도핑된 또는 n-형 도핑된 갈륨 니트라이드를 증착시키도록 구성된 챔버는 도 2a에 도시된 바와 같이 클러스터 툴 배열에 포함된다. 그러나, 대안적인 구체예에서, PVD 챔버 및 비-도핑된 또는 n-형 갈륨 니트라이드를 증착시키도록 구성된 챔버가 인-라인 툴 배열에 포함된다. 본원에 기재된 바와 같이, PVD를 기반으로 한 증착 공정은 표준 실온과 비슷한 온도에서 수행될 수 있거나, 더 높은 온도에서 수행될 수 있다.
도 2b를 참조하면, LED 구조물(220)은, 다수가 III-V족 물질을 포함하는 다양한 물질 층의 스택을 포함한다. 예를 들어, LED 구조물(220)은 약 10 - 200 나노미터 범위의 두께를 지니는 알루미늄 니트라이드 층(224)(AlN) 및 규소 또는 사파이어 기판(222)(기판: 사파이어, Si)을 포함한다. 알루미늄 니트라이드 층(224)은 클러스터 툴(200)의 PVD 알루미늄 니트라이드 스퍼터 챔버(202)에서 스퍼터 증착에 의해 형성된다. LED 구조물(220)은 또한 약 4 마이크론 두께의 비-도핑된/n-형 갈륨 니트라이드 조합 또는 n-형 갈륨 니트라이드-단독 층(226) (n-GaN)을 포함한다. 비-도핑된/n-형 갈륨 니트라이드 조합 또는 n-형 갈륨 니트라이드-단독 층(226)은 클러스터 툴(200)의 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(204)에서 형성된다. LED 구조물(220)은 또한 30 - 500 나노미터 범위 두께를 지니는 MQW 구조물(228)을 포함한다. MQW 구조물(228)은 클러스터 툴(200)의 MQW MOCVD 반응 챔버(206)에서 형성된다. 한 가지 구체예에서, MQW 구조물(228)은 하나의 또는 복수의 필드 쌍의 InGaN 웰/GaN 배리어 물질 층으로 구성된다. LED 구조물(220)은 또한 약 20 나노미터 두께의 p-형 갈륨 알루미늄 니트라이드 층(230) (p-AlGaN) 및 50 - 200 나노미터 범위의 두께를 지니는 p-형 갈륨 니트라이드 층(232) (p-GaN)을 포함한다. p-형 갈륨 알루미늄 니트라이드 층(230) 및 p-형 갈륨 니트라이드 층(232)은 클러스터 툴(200)의 p-형 갈륨 니트라이드 MOCVD 반응 챔버(208)에서 형성된다. 상기 두께 또는 두께 범위는 예시적인 구체예이며, 다른 적합한 두께 또는 두께 범위가 또한 본 발명의 구체예의 사상 및 범위 내에서 고려됨을 이해해야 한다.
시간-대-증착 플롯(240)은 클러스터 툴(200)에서의 챔버 사용을 나타낸다. MQW MOCVD 반응 챔버(206)에서 MQW 구조물(228)의 형성은 약 2시간의 성장 시간을 지닌다. p-형 갈륨 니트라이드 MOCVD 반응 챔버(208)에서 p-형 갈륨 알루미늄 니트라이드 층(230) 및 p-형 갈륨 니트라이드 층(232)의 형성은 약 1시간의 성장 시간을 지닌다. 그리고, 본 발명의 한 가지 구체예에 따르면, 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(204)에서 비-도핑된/n-형 갈륨 니트라이드 조합 또는 n-형 갈륨 니트라이드-단독 층(226)의 형성은 단지 약 2시간의 성장 시간을 지닌다. 추가 약 1시간이 챔버(204)의 챔버 세정을 위해서 필요할 수 있다. 그러나, 세정 시간은 세정 시간 및 회복 시간에 더하여 중지를 위한 시간 포함할 수 있음을 이해해야 한다. 또한, 상기는 세정이 모든 챔버의 사용 간에 수행될 수 없기 때문에 평균을 나타낼 수 있음을 이해해야 한다.
따라서, 갈륨 니트라이드 층(126)을 형성시키는데 사용되는 MOCVD 챔버에서 버퍼 층, 예컨대, 도 1의 버퍼 층(124)을 형성시키는 대신에, 알루미늄 니트라이드 버퍼 층(224)이 그 대신 포함되고, 또 다른 챔버, 특히, PVD 알루미늄 니트라이드 스퍼터 챔버(202)에서 형성된다. AlN 성장은 펌프 시간(약 400 torr 내지 약 10-8 torr)을 배제하면서 약 5분의 기간 동안일 수 있지만, MOCVD 챔버 1과 별개인 챔버에서의 형성은 클러스터 툴(200)의 처리량을 증가시킨다. 예를 들어, 클러스터 툴(200)에서 LED 구조물(220)을 제조하기 위한 전체 사이클 시간은 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(204)의 사이클 시간에 따라 좌우되고, 이는 4.5시간의 벤치마크 시스템에 비해 약 3시간으로 단축된다. 따라서, 3개의MOCVD 챔버에 더하여 1개의 PVD 챔버를 지니는 구조물(220)의 점진적 성장은 MQW MOCVD 반응 챔버(206) 및 p-형 갈륨 니트라이드 MOCVD 반응 챔버(208)에 대한 훨씬 더 짧은 유휴 시간을 야기하여 시스템(200)의 전체 처리량을 개선시킨다. 예를 들어, 한 가지 구체예에서, 툴 처리량은 1일당 약 5.3개의 작업량에서 1일당 약 8개의 작업량으로 개선될 수 있는데, 이는 약 50%의 처리량 개선을 입증하는 것이다.
도 2a를 다시 참조하면, 클러스터 툴(200)에서 LED 구조물 제조에 대한 대표적인 온도 대 시간 플롯(250)이 제공된다. 플롯(250)의 영역(252)은 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(204)에서 형성된 비-도핑된/n-형 갈륨 니트라이드 조합 또는 n-형 갈륨 니트라이드-단독 층(226)의 형성에 대하여 특이적이다. 이러한 영역에서, 단지 하나의 온도 증가(약 1100 섭씨 온도에서 약 400 섭씨 온도로 증가율 감소)가 필요하다. 그러한 단일 증가의 경우의 요건은 상기 기재된 바와 같은 비-도핑된 및/또는 n-형 갈륨 니트라이드 MOCVD 반응 챔버(102)에서 버퍼 층(124) 및 비-도핑된/n-형 갈륨 니트라이드 조합 층(126)의 형성을 위한 시간 순서와는 아주 대조적이다. 그러한 경우에, 챔버는 기판 처리를 위한 고온에서 시작하여, 버퍼 층 형성을 위한 온도로 내려가고, 갈륨 니트라이드 증착을 위한 온도에서 다시 올라가며, 마지막으로 안정화를 위해 다시 내려간다. 그러나, 이 둘 모두의 경우에, MQW 및 p-GaN의 형성에 특이적인 플롯(250)의 영역(254 및 256)은 대략적으로 동일할 것임이 주지된다. 한 가지 구체예에서, 플롯(250)의 영역(258)을 참조하면, PVD-형성된 알루미늄 니트라이드를 위한 온도 대 시간 플롯은 약 20 - 1200 섭씨 온도 범위에서 고온(HT) 또는 저온(LT) 공정을 포함할 수 있다.
클러스터 툴(200)의 처리량 개선 외에, PVD 챔버에 3개의 MOCVD 챔버가 더해진 툴 배열에 대한 추가 이점이 존재할 수 있다. 예를 들어, 비용 절감이 달성될 수 있는데, 그 이유는 더 적은 반응 가스가 제 1 MOCVD 챔버로 전달되어야 할 수 있기 때문이다. PVD 챔버 엔지니어링 및 설계는 벤치마크 클러스터 툴(100)의 챔버(102)와 같이 버퍼 층과 장치 층 둘 모두가 제공된 MOCVD 챔버에 대한 배열 시간 및 복잡성(complexity)과 더 간단하게 비교될 수 있다. 상기 공정이 장치 층(226)의 비-도핑된 갈륨 니트라이드 부분에 대한 감소된 두께를 가능하게 하는 경우에, 더 간단한 다운-라인-에치-백 공정(down-the-line etch-back process)이 수행될 수 있다. 이는 또한 사이클 시간을 단축시키면서 재료 및 작업 비용의 절약을 가능하게 할 수 있다. 또한, 갈륨 니트라이드 버퍼 층 대신에 알루미늄 니트라이드 버퍼 층을 사용함으로써, 장치, 예컨대, LED 장치 또는 전력 장치의 활성 층에서 결함률(defectivity) 감소가 달성될 수 있다.
따라서, 본 발명의 한 가지 구체예에 따라, 다중-챔버 시스템은 알루미늄 니트라이드 타겟을 지니는 PVD 챔버, 및 비-도핑된 또는 n-형 갈륨 니트라이드를 증착시키는 제 1 MOCVD 챔버를 포함한다. 다중-챔버 시스템은 또한 다중 양자 우물(MQW) 구조물을 증착시키는 제 2 MOCVD 챔버, 및 p-형 알루미늄 갈륨 니트라이드 또는 p-형 갈륨 니트라이드를 증착시키는 제 3 MOCVD 챔버, 또는 이 둘 모두를 포함한다. 한 가지 구체예에서, 알루미늄 니트라이드 타겟을 지니는 PVD 챔버는 알루미늄 니트라이드의 비-반응성 스퍼터링을 위한 것이다. 특정의 그러한 구체예에서, PVD 챔버는 약 20 - 200 섭씨 온도 범위의 저온 또는 약간 상승된 온도에서 알루미늄 니트라이드의 비-반응성 스퍼터링을 위한 것이다. 또 다른 특정의 구체예에서, PVD 챔버는 약 200 - 1200 섭씨 온도 범위의 고온에서 알루미늄 니트라이드의 비-반응성 스퍼터링을 위한 것이다. 대안적인 구체예에서, PVD 챔버는 질소-함유 가스 또는 질소-함유 가스로부터 유도된 플라즈마로의 알루미늄 타겟의 반응성 스퍼터링을 위한 것이다.
이는 필수적인 물질 특성(예, 적절한 결함 밀도, 결정 그레인 크기, 결정 배향 등)을 달성하기 위하여 증착 온도와 관계 없이 LED 구조물(220)에 포함시키기에 적합한 PVD 증착된 알루미늄 니트라이드 층이 일정 시점에 약 400 - 1400 섭씨 온도의 범위, 예를 들어, 약 900 섭씨 온도의 고온으로 노출되는 것이 필요할 수 있는 경우일 수 있다. 본 발명의 구체예에 따르면, 급속 열 처리(rapid thermal processing: RTP) 공정은 알루미늄 니트라이드 층 상에 추가의 층을 형성시키기 전에 PVD 증착된 알루미늄 니트라이드 층 상에서 수행된다. 그 후에, RTP 챔버는 어떠한 방식으로 LED 구조물(220)을 위한 상기 기재된 제조 공정과 관련될 수 있다. 한 가지 구체예에서, PVD 및 3개의 MOCVD 챔버를 포함하는 인-라인 툴 또는 클러스터 툴과 같은 툴이 또한 RTP 챔버를 포함한다. 그러나, 대안적인 구체예에서, RTP 공정은 PVD 챔버에서 수행된다. 또 다른 대안적인 구체예에서, 레이저 어닐링 기능은 LED 구조물(220)을 위해 상기 기재된 제조 공정과 관련된다.
그 후에, 본 발명의 한 가지 양태에서, 물리 기상 증착(PVD) 알루미늄 니트라이드(AlN) 버퍼 층을 형성시키기 위한 공정 조건이 기재된다. 그러한 버퍼 층은, 예를 들어, GaN-기반 장치에 포함될 수 있다. 한 가지 구체예에서, 파라미터적 공정 범위가 특정 특징 및 특성을 지니는 AlN의 증착에 제공된다.
발광 다이오드(LED) 제조의 경우에, 공정은 전형적으로 금속 유기 화학 기상 증착(MOCVD)을 통해 기판 상에 저온 버퍼 층을 형성시킴을 포함한다. MOCVD에 의한 버퍼 층의 증착에 이어서 전형적으로 활성 장치 층, 예를 들어, 비-도핑된, Si-도핑된 n-형, MQW, 및 Mg-도핑된 p-형 GaN 층이 형성된다. 기판 사전-베이킹은 일반적으로 고온(예, 약 1050 섭씨 온도 초과)에서 수행된다. 대조적으로, 버퍼 층의 증착은 일반적으로 저온(예, 약 500 - 600 섭씨 온도 범위)에서 수행된다. 이러한 공정들은 전체 MOCVD 공정 시간의 약 10 - 30%를 차지할 수 있다. MOCVD 처리량은 비-동일 반응계에서 증착된 버퍼 층에 의해 향상될 수 있다. 이에 따라서, 한 가지 구체예에서, 하기에 보다 상세하게 기재되는 바와 같이, PVD에 의해 형성되는 비-동일 반응계에서 증착된 AlN 버퍼 층이 기재된다. 한 가지 구체예에서, PVD 공정은 별개의 챔버에서 수행된다.
한 가지 구체예에서, 공정 조건은 GaN 장치 제조에서 사용하기에 적합한 AlN 버퍼(템플레이트)로 기판을 형성시키는 것을 가능하게 한다. 한 가지 그러한 구체예에서, PVD AlN 버퍼의 산소 제어된 증착이 수행된다.
한 가지 구체예에서, AlN 버퍼 층은 PVD 챔버에 수용되고 질소-함유 가스 또는 질소-함유 가스를 기반으로 한 플라즈마와 반응되는 알루미늄-함유 타겟으로부터 반응성 스퍼터링에 의해 형성된다. 한 가지 구체예에서, 산소 도입이 또한 수행된다. 예시적인 구체예에서, 다음 작업 및 조건들 중 하나 이상(또는 이들의 조합)이 산소 도입을 위해 이용된다: (1) O2, H2O, CO, CO2, NO, NO2, O3, 또는 이들의 조합과 같지만 이로 제한되지 않는 산소 함유 가스를 PVD 챔버로 유동시킴; (2) 챔버, 프로세스 키트, 및 타겟이 흡수된 산소와 사전-컨디셔닝되고/거나 AlN/기판 인터페이스에서 도입되는 최적량의 산소를 지닐 수 있도록 증착을 위해 플라즈마가 가동되기 전에, 그 동안에 및/또는 그 후에 산소 함유 가스를 AlN 벌크 필름 및 AlN 표면에 유동시켜 이를 고품질의 GaN 성장에 적합하게 함; 및 (3) 산소 함유 가스 유동량, 도입 시간 및 기간을 AlN 필름 및 AlN 표면에서 AlN과 상이한 기판 사이의 이의 균일한 변형 인터페이스를 보장하도록 정확하게 제어함. 특정 구체예에서, AlN 필름에 도입되는 산소(O)의 양은 약 1E18 내지 1E23 원자/cm3의 범위이다. 한 가지 구체예에서, 상부에 AlN 증착을 지니는 기판은 사파이어, Si, SiC, 다이아몬드 상 Si, ZnO, LiAlO2, MgO, GaAs, 구리, W 등과 같은 것이지만, 이로 제한되지 않는다. 기판은 평평하거나 사전-패턴화될 수 있다.
한 가지 구체예에서, PVD AlN 버퍼 층의 산소 제어된 증착에 최적화된 하드웨어는 다음 구성들 중 하나 이상을 포함한다: (1) 고온, 예를 들어, 350 섭씨 온도 또는 그 초과에서 압력 증가 속도(예, 2,500 ntorr/min 또는 그 미만)와 높은 기저 진공(예, 1E-7 torr 또는 그 미만) 및 낮은 진공 누설을 함께 가능하게 하는 펌핑 시스템, 챔버 진공 통합 설계, 및 챔버 냉각 설계; (2) 웨이퍼 내에서 및 웨이퍼 사이에서 샘플 캐리어 전체에 걸쳐 AlN 필름의 균일한 증착 및 일관된 타겟 부식을 보장하는 정단면 부식 마그네트론 캐소드; (3) 최적의 AlN 조성이 균일하게 달성될 수 있도록 챔버 내에 O-함유 가스를 포함한 공정 가스의 균일한 분배를 보장하는 프로세스 키트 및 가스 흐름 설계; (4) 웨이퍼의 신속하고 균일한 가열을 보장하는 고온 바이어스가능한 정전척(high temperature biasable electro-static chuck); 및 최적량의 산소가 증착된 AlN 필름으로 균일하게 도입되어 상부 상에 고품질의 GaN 성장을 보장할 수 있도록 산소로 도핑된 Al 타겟의 포함(예, 한 가지 구체예에서, 알루미늄 타겟은 약 1ppm 내지 10,000ppm 범위의 산소 농도로 도핑됨). 한 가지 구체예에서, 프로세스 키트 및 타겟의 균일하고 충분한 컨디셔닝을 보장하고, 패스팅 사이클(pasting cycle) 간에 반복가능한 PVO AlN 특성을 제공하기 위해 챔버 패스팅 공정(chamber pasting process)이 이용된다. 한 가지 그러한 구체예에서, 패스팅 사이클의 횟수는 작업 당 1회에서 타겟 또는 프로세스 키트 수명 당 1회로 다를 수 있음을 이해해야 한다. 상기 양태들 중 하나 이상은 도 3a-3d와 관련하여 이하에서 기술된다.
한 가지 그러한 구체예에서, 상기 조건 및 하드웨어를 사용하여, 고품질의 AlN의 증착이 작업 간에 웨이퍼 사이에서 반복가능하게 그리고 웨이퍼 내에서 높은 균일성으로 달성된다. AlN의 상부 상에, XRD (002) FWHM < 100 arcsec 및/또는 XRD (102) FWHM < 150 arcsec를 지니는 고품질의 GaN이 성장될 수 있고, 공정이 반복가능한 것으로 입증되었다. 특정의 구체예에서, GaN은 약 5E8 결함/cm2 미만의 전위 밀도를 지닌다. 한 가지 구체예에서, (002)에 대한 XRD FWHM은 약 50-250 arcsec의 범위이다. 한 가지 구체예에서, (102)에 대한 XRD FWHM은 약 70-250 arcsec의 범위이다. 한 가지 구체예에서, 상기 기재된 독특한 하드웨어 및 공정은 높은 처리량으로 그리고 반복가능하게 특히 높은 AlN 및 GaN 품질을 제공한다.
3개의 MOCVD 챔버와 함께 PVD 챔버를 수용하기에 적합한 툴 플랫폼의 예시적인 구체예는 Opus™ AdvantEdge™ 시스템 또는 Centura™ 시스템을 포함하고, 이 둘 모두는 Applied Materials, Inc.(Santa Clara, CA)로부터 시중에서 구입가능하다. 본 발명의 구체예는 추가로 다중-챔버의 처리 플랫폼의 부품으로서 통합 계측(integrated metrology: IM) 챔버를 포함한다. IM 챔버는 통합된 증착 공정, 예컨대, 다중 세그먼트화된 스퍼터 또는 에피택셜 성장 공정, 예컨대, 본원에 기재된 것들의 적응 제어를 가능하게 하는 제어 신호를 제공할 수 있다. IM 챔버는 다양한 필름 특성, 예컨대, 두께, 거칠기, 조성을 측정하기에 적합한 계측 장치를 포함할 수 있으며, 추가로, 자동화 방식으로 진공 하에 그레이팅(grating) 파라미터, 예컨대, 임계 치수(critical dimension: CD), 측면 각도(sidewall angle: SWA), 특징 높이(feature height: HT)를 특성화할 수 있다. 이의 예는 반사측정 및 산란측정과 같은 광학적 기술을 포함하지만, 이로 제한되지 않는다. 특히 유리한 구체예에서, 스퍼터 및/또는 에피택셜 성장이 진행됨에 따라서 출발 물질에서 형성된 그레이팅의 속성을 모니터링하는 경우에 진공내 광학적 CD(optical CD: OCD) 기술이 사용된다. 다른 구체예에서, 계측 작업은 공정 챔버에서, 예를 들어, 별개의 IM 챔버에서 보다는 동일-반응계에서의 공정 챔버에서 수행된다.
다중 챔버의 처리 플랫폼, 예컨대, 클러스터 툴(200)은 추가로 임의의 기판 정렬 챔버 뿐만 아니라 로봇식 핸들러(robotic handler)를 포함한 이송 챔버에 결합된 카세트를 고정시키는 로드 락 챔버를 포함할 수 있다. 본 발명의 한 가지 구체예에서, 다중-챔버의 처리 플랫폼(200)의 적응 제어는 제어기에 의해 제공된다. 제어기는 다양한 서브프로세서 및 서브컨트롤러를 제어하기 위한 산업적 셋팅에 사용될 수 있는 어떠한 형태의 일반 용도의 데이터 처리 시스템 중 하나일 수 있다. 일반적으로, 제어기는 다른 일반적인 부품들 중에서 메모리 및 입력/출력(I/O) 회로와 소통되는 중앙 처리 장치(central processing unit: CPU)를 포함한다. 예로서, 제어기는 본원에 기재된 어떠한 방법/공정의 작업들 중 하나 이상을 수행하거나 달리 개시할 수 있다. 그러한 작업을 수행하고/거나 개시하는 어떠한 컴퓨터 프로그램 코드는 컴퓨터 프로그램 제품으로 구현될 수 있다. 본원에 기재된 각각의 컴퓨터 프로그램 제품은 컴퓨터에 의해 판독가능한 매체(예, 플로피 디스크, 콤팩트 디스크, DVD, 하드 드라이브, 랜덤 엑세스 메모리 등)에 의해 수행될 수 있다.
본원에서 고려되는 공정 및 툴 배열에 적합한 PVD 챔버는 Applied Materials, Inc.(Santa Clara, CA)로부터 시중에서 구입가능한 Applied Materials Endura® Impulse™ PVD 시스템을 포함할 수 있다. Endura PVD 시스템은 우수한 전자이동 저항(electromigration resistance) 및 표면 형태뿐만 아니라 저비용의 소유권 및 높은 시스템 재현성을 제공한다. 이에 수행되는 PVD 공정은 공정 캐비티(process cavity)에 증착된 종의 방향 플럭스를 형성시키는 필수 압력 및 적합한 타겟-내지-웨이퍼 거리에서 상기와 같이 수행될 수 있다. Applied Materials, Inc.(Santa Clara, CA)로부터 또한 시중에서 구입가능한 인-라인 시스템과 호환가능한 챔버, 예컨대, ARISTO 챔버는 자동화 로딩 및 언로딩 기능뿐만 아니라 마그네틱 캐리어 운반 시스템을 제공하여 상당히 단축된 사이클 시간을 가능하게 한다. Applied Materials, Inc.(Santa Clara, CA)로부터 또한 시중에서 구입가능한 AKT-PiVot 55KV PVD 시스템은 스퍼터링 증착을 위한 수직 플랫폼을 지닌다. AKT-PiVot 시스템의 모듈 설계는 상당히 더 빠른 사이클 시간을 전달하고, 생산 효율을 최적화시키는 매우 다양한 배열을 가능하게 한다. 전형적인 인-라인 시스템과는 달리, AKT-PiVot의 병렬 처리 기능은 각각의 필름 층에 대한 상이한 공정 시간에 의해 초래되는 병목 현상을 막는다. 시스템의 클러스터-유사 배열은 또한 개별적인 모듈 관리 동안 연속 작업을 가능하게 한다. 포함된 회전 캐소드 기술은 통상적인 시스템과 비교해 볼 때 거의 3x의 더 높은 타겟의 이용을 가능하게 한다. PiVot 시스템의 증착 모듈은 다른 시스템이 필요한 최대 50개의 기판보다는 오히려 단지 하나의 기판을 사용하는 타겟 컨디셔닝이 동일한 결과를 달성하는 것을 가능하게 하는 사전-스퍼터 유닛을 특징으로 한다.
본 발명의 한 가지 양태에서, 적절한 프로세스 키트를 설계하는 것은 PVD 공정 챔버에서 펄스화된 DC 또는 RF 챔버 기능을 위해 중요할 수 있다. 예로서, 도 3a-3c는 본 발명의 한 가지 구체예에 따른 PVD 챔버를 위한 프로세스 키트의 횡단면도를 도시한 것이다. 도 3d는 본 발명의 한 가지 구체예에 따른 PVD 챔버를 위한 전력 전달 공급원의 횡단면도를 도시한 것이다.
도 3a-3c를 참조하면, PVD 챔버를 위한 프로세스 키트(300)는 상부 어댑터(302), 하부 어댑터(304), 하부 실드(306), 및 DTESC(308)를 지니는 제 1 부분(도 3a)을 포함한다. PVD 챔버를 위한 프로세서 키트(300)는 또한 타겟(310), 암흑 실드(312) 및 Al 스페이서(314)를 지니는 제 2 부분(도 3b)을 포함한다. PVD 챔버를 위한 프로세서 키트(300)는 또한 덮개 링(316) 및 증착 링(318)을 지니는 제 3 부분(도 3c)을 포함한다.
도 3d를 참조하면, PVD 챔버를 위한 전력 전달 공급원(350)은 RF 매치(352) 및 RF 피드(354)를 포함한다. 공급원 분배판(356)(예, 알루미늄 공급원 분배판) 및 그라운드 실드(358)(예, 알루미늄 시트 금속)이 또한 금속 하우징(360) 및 링 마그네트(362)와 함께 포함된다. 전력 전달 공급원(350)은 또한 DC 필터 박스(364) 및 DC 피드(366)를 포함한다. 상부판(368) 및 분배판(370)이 또한 연장 블록(372), 샤프트(374), 및 타겟(376)과 함께 포함된다.
상기 기재된 MOCVD 챔버(204, 206, 또는 208) 중 하나 이상으로서 사용하기에 적합할 수 있는 MOCVD 증착 챔버의 예는 도 4와 관련하여 도시되고 기재된다. 도 4는 본 발명의 한 가지 구체예에 따른 MOCVD 챔버의 개략적 횡단면도이다.
도 4에 도시된 장치(4100)는 챔버(4102), 가스 전달 시스템(4125), 원격 플라즈마 공급원(4126), 및 진공 시스템(4112)을 포함한다. 챔버(4102)는 처리 공간(4108)을 포함하는 챔버 몸체(4103)를 포함한다. 샤워헤드 어셈블리(4104)는 처리 공간(4108)의 한 단부에 배치되고, 기판 캐리어(4114)는 처리 공간(4108)의 다른 단부에 배치된다. 하부 돔(4119)은 하부 공간(4110)의 한 단부에 배치되고, 기판 캐리어(4114)는 하부 공간(4110)의 다른 단부에 배치된다. 기판 캐리어(4114)가 공정 배치에 도시되어 있지만, 예를 들어, 기판(4140)이 로딩되거나 언로딩될 수 있는 하부 위치로 이동될 수 있다. 배기 링(420)은, 하부 공간(4110)에서 증착의 발생을 막는 것을 돕고, 또한 챔버(4102)에서부터 배기 포트(4109)로의 배기 가스의 유도를 돕기 위해 기판 캐리어(4114)의 주변을 둘러 배치될 수 있다. 하부 돔(4119)은 기판(4140)의 방사 가열을 위해 빛을 통과시키도록 고순도 석영과 같은 투명한 물질로 제조될 수 있다. 방사 가열은 하부 돔(4119) 아래에 배치된 복수의 내부 램프(4121A) 및 외부 램프(4121B)에 의해 제공될 수 있고, 반사기(4166)는 내부 및 외부 램프(4121A, 4121B)에 의해 제공되는 복사 에너지에 대한 챔버(4102)의 노출을 제어하는 것을 돕는데 사용될 수 있다. 램프의 추가 링이 또한 기판(4140)의 보다 섬세한 온도 제어를 위해 사용될 수 있다.
기판 캐리어(4114)는 하나 이상의 리세스(4116)를 포함할 수 있고, 리세스 내부에는 처리 동안 하나 이상의 기판(4140)이 배치될 수 있다. 기판 캐리어(4114)는 6개 이상의 기판(4140)을 운반할 수 있다. 한 가지 구체예에서, 기판 캐리어(4114)는 8개의 기판(4140)을 운반한다. 더 많거나 적은 기판(4140)이 기판 캐리어(4114) 상에서 운반될 수 있음을 이해해야 한다. 전형적인 기판(4140)은 사파이어, 실리콘 카바이드(SiC), 규소, 또는 갈륨 니트라이드(GaN)를 포함할 수 있다. 유리 기판(4140)과 같은 다른 유형의 기판(4140)이 처리될 수 있음을 이해해야 한다. 기판(4140) 크기는 50 mm - 100 mm 또는 그 보다 큰 범위의 직경일 수 있다. 기판 캐리어(4114) 크기는 200 mm - 750 mm의 범위일 수 있다. 기판 캐리어(4114)는 SiC 또는 SiC-코팅된 그라파이트를 포함한 다양한 물질로부터 형성될 수 있다. 다른 크기의 기판(4140)이 챔버(4102) 내에서 그리고 본원에 기재된 공정에 따라 처리될 수 있음을 이해해야 한다. 샤워헤드 어셈블리(4104)는 전형적인 MOCVD 챔버에서의 기판보다 더 많은 갯수의 기판(4140) 및/또는 더 큰 기판(4140) 전체에 걸쳐서 보다 균일한 증착을 가능하게 하고, 이에 의해서 기판(4140)당 처리량을 증가시키고 처리 비용을 절감할 수 있다.
기판 캐리어(4114)는 처리 동안 축에 대하여 회전될 수 있다. 한 가지 구체예에서, 기판 캐리어(4114)는 약 2 RPM 내지 약 100 RPM으로 회전될 수 있다. 또 다른 구체예에서, 기판 캐리어(4114)는 약 30 RPM으로 회전될 수 있다. 기판 캐리어(4114)를 회전시키는 것은 기판(4140)의 균일한 가열 및 각각의 기판(4140)에 대한 처리 가스의 균일한 노출을 제공하는 것을 돕는다.
복수의 내부 및 외부 램프(4121A, 4121B)는 동심원 또는 구역(미도시)에 배열될 수 있고, 각각의 램프 구역은 개별적으로 작동될 수 있다. 한 가지 구체예에서, 하나 이상의 온도 센서, 예컨대, 고온계(미도시)가 기판(4140) 및 기판 캐리어(4114)의 온도를 측정하기 위해 샤워헤드 어셈블리(4104) 내에 배치될 수 있고, 온도 데이터는 기판 캐리어(4114) 전체에 걸쳐서 소정 온도 프로파일을 유지하기 위해 개별적인 램프 구역으로 전력을 조절할 수 있는 제어기(미도시)로 보내질 수 있다. 또 다른 구체예에서, 개별적인 램프 구역으로의 전력은 전구체 흐름 또는 전구체 농도의 비균일성에 대하여 보상하기 위해 조절될 수 있다. 예를 들어, 전구체 농도가 외부 램프 구역 근처의 기질 캐리어(4114)에서 낮아지는 경우, 외부 램프 구역으로의 전력은 이러한 구역에서 전구체 소모에 대한 보상을 돕도록 조절될 수 있다.
내부 및 외부 램프(4121A, 4121B)는 약 400 섭씨 온도 내지 약 1200 섭씨 온도의 온도로 기판(4140)을 가열할 수 있다. 본 발명은 내부 및 외부 램프(4121A, 4121B)의 배열의 사용으로 국한되지 않음을 이해해야 한다. 적절한 온도가 챔버(4102) 및 그 안의 기판(4140)에 충분히 적용됨을 보장하도록 어떠한 적합한 열 공급원이 이용될 수 있다. 예를 들어, 또 다른 구체예에서, 열 공급원은 기판 캐리어(4114)와 열 접촉되는 저항 가열 부재(미도시)를 포함할 수 있다.
가스 전달 시스템(4125)은 다중 가스 공급원을 포함할 수 있거나, 실시되는 공정에 좌우하여, 공급원들 중 일부는 가스보다는 액체 공급원일 수 있는데, 이러한 경우에, 가스 전달 시스템은 액체를 증발시키기 위해 액체 주입 시스템 또는 다른 수단(예, 버블러)을 포함할 수 있다. 이 후, 증기는 챔버(4102)로의 전달 전에 캐리어 가스와 혼합될 수 있다. 상이한 가스, 예컨대, 전구체 가스, 캐리어 가스, 퍼지 가스, 세정/에칭 가스 또는 기타 등등이 가스 전달 시스템(4125)에서부터 샤워헤드 어셈블리(4104)로의 개별적인 공급 라인(4131, 4132, 및 4133)으로 공급될 수 있다. 공급 라인(4131, 4132, 및 4133)은 각각의 라인에서의 가스의 유동을 모니터링하고 조절하거나 중지시키기 위해 차단 밸브 및 물질 흐름 제어기 또는 다른 유형의 제어기를 포함할 수 있다.
도관(4129)은 원격 플라즈마 공급원(4126)으로부터 세정/에칭 가스를 수용할 수 있다. 원격 플라즈마 공급원(4126)은 공급 라인(4124)을 통해 가스 전달 시스템(4125)으로부터 가스를 수용할 수 있고, 밸브(4130)는 샤워헤드 어셈블리(4104)와 원격 플라즈마 공급원(4126) 사이에 배치될 수 있다. 밸브(4130)는 플라즈마를 위한 도관으로서 작용하도록 구성될 수 있는 공급 라인(4133)을 통해 세정 및/또는 에칭 가스 또는 플라즈마가 샤워헤드 어셈블리(4104)로 유동하도록 열릴 수 있다. 또 다른 구체예에서, 장치(4100)는 원격 플라즈마 공급원(4126)을 포함하지 않을 수 있으며, 세정/에칭 가스가 비-플라즈마 세정 및/또는 에칭을 위해 교대식 공급 라인 구성을 사용하여 가스 전달 시스템(4125)으로부터 샤워 헤드 어셈블리(4104)로 전달될 수 있다.
원격 플라즈마 공급원(4126)은 챔버(4102) 세정 및/또는 기판(4140) 에칭을 위해 구성된 무선주파수 또는 마이크로파 플라즈마 공급원일 수 있다. 세정 및/또는 에칭 가스는 플라즈마 화학종을 생성시키기 위해 공급 라인(4124)을 통해 원격 플라즈마 공급원(4126)에 공급될 수 있고, 플라즈마 화학종은 분산을 위해 도관(4129) 및 공급 라인(4133)을 통해 샤워헤드 어셈블리(4104)를 거쳐 챔버(4102)로 보내질 수 있다. 세정 적용을 위한 가스는 불소, 염소 또는 다른 반응성 원소를 포함할 수 있다.
또 다른 구체예에서, 가스 전달 시스템(4125) 및 원격 플라즈마 공급원(4126)은, 전구체 가스가 원격 플라즈마 공급원(4126)으로 공급되어 플라즈마 화학종을 생성시키고 플라즈마 화학종이 샤워헤드 어셈블리(4104)를 거쳐 보내져, 예를 들어, CVD 층, 예컨대, III-V족 필름을 기판(4140) 상에 증착시키도록 적합하게 구성될 수 있다. 일반적으로, 물질 상태인 플라즈마는 전기 에너지 또는 전자기파(예, 무선 주파수파, 마이크로파)를 공정 가스(예, 전구체 가스)로 전달하여 이를 적어도 부분적으로 파괴하여 플라즈마 화학종, 예컨대, 이온, 전자 및 중성 입자(예, 라디칼)를 형성시킴으로써 발생된다. 한 가지 예에서, 플라즈마는 약 100 기가헤르츠(GHz) 미만의 주파수에서 전자기 에너지를 전달함으로써 플라즈마 공급원(4126)의 내부 영역에서 발생된다. 또 다른 예에서, 플라즈마 공급원(4126)은 약 4 킬로와트(kW) 미만의 전력 수준에서 약 0.4 킬로헤르츠(kHz) 내지 약 200 메가헤르츠(MHz)의 주파수, 예컨대, 약 162 메가헤르츠(MHz)의 주파수의 전자기 에너지를 전달하도록 구성된다. 형성된 플라즈마는 증착 공정 동안 기판(들)의 표면에 도달한 활성화된 가스가 빠르게 반응하여 개선된 물리적 및 전기적 특성을 지니는 층을 형성시킬 수 있도록 전구체 가스(들)의 형성 및 활성을 향상시키는 것으로 사료된다.
퍼지 가스(예, 질소)는 기판 캐리어(4114) 아래에 그리고 챔버 몸체(4103)의 하부 근처에 배치된 샤워헤드 어셈블리(4104)로부터 및/또는 유입 포트 또는 튜브(미도시)로부터 챔버(4102)로 전달될 수 있다. 퍼지 가스는 챔버(4102)의 하부 공간(4110)에 들어가고, 위쪽으로 기판 캐리어(4114) 및 배기 링(420)을 지나 고리형 배기 통로(4105) 주위에 배치된 다중 배기 포트(4109)로 유동된다. 배기 도관(4106)은 고리형 배기 통로(4105)를 진공 펌프(미도시)를 포함한 진공 시스템(4112)에 연결한다. 챔버(4102) 압력은 밸브 시스템(4107)을 사용하여 제어될 수 있고, 밸브 시스템(4107)은 배기 가스가 고리형 배기 통로(4105)로부터 유도되는 속도를 제어한다.
상기 기재된 챔버(204)의 대안적인 구체예의(또는 다른 챔버의 대안적인 구체예의) HVPE 챔버(204)로서 사용하기에 적합할 수 있는 HVPE 증착 챔버의 예는 도 5와 관련하여 도시되고 기재된다. 도 5는 본 발명의 한 가지 구체예에 따른 III족 니트라이드 물질의 제조에 적합한 HVPE 챔버(500)의 개략적 횡단면도이다.
장치(500)는 덮개(504)에 의해 둘러지는 챔버(502)를 포함한다. 제 1 가스 공급원(510)으로부터의 처리 가스는 가스 분배 샤워헤드(506)를 거쳐 챔버(502)로 전달된다. 한 가지 구체예에서, 가스 공급원(510)은 질소 함유 화합물을 포함한다. 또 다른 구체예에서, 가스 공급원(510)은 암모니아를 포함한다. 한 가지 구체예에서, 불활성 가스, 예컨대, 헬륨 또는 이원자 질소가 마찬가지로 가스 분배 샤워헤드(506)를 거쳐 또는 챔버(502)의 벽(508)을 거쳐 도입된다. 에너지 공급원(512)은 가스 공급원(510)과 가스 분배 샤워헤드(506) 사이에 배치될 수 있다. 한 가지 구체예에서, 에너지 공급원(512)은 히터를 포함한다. 에너지 공급원(512)은 질소 함유 가스로부터의 질소가 더 반응성이 되도록 가스 공급원(510)으로부터의 가스, 예컨대, 암모니아를 파괴할 수 있다.
제 1 공급원(510)으로부터의 가스와 반응시키기 위해서, 전구체 물질은 하나 이상의 제 2 공급원(518)으로부터 전달될 수 있다. 전구체는 반응성 가스를 전구체 공급원(518)에서 전구체 상에 및/또는 전구체를 거쳐 유동시킴으로써 챔버(502)에 전달될 수 있다. 한 가지 구체예에서, 반응성 가스는 이원자 염소와 같은 염소 함유 가스를 포함한다. 염소 함유 가스는 전구체 공급원과 반응하여 염화물을 형성시킬 수 있다. 전구체와 반응하는 염소 함유 가스의 효과를 증가시키기 위하여, 염소 함유 가스는 챔버(532)에서 보트 영역을 거쳐 구불구불하게 움직이고, 저항 히터(520)로 가열될 수 있다. 염소 함유 가스가 챔버(532)를 거쳐 구불구불하게 움직이는 체류 시간을 증가시킴으로써, 염소 함유 가스의 온도가 제어될 수 있다. 염소 함유 가스의 온도를 증가시킴으로써, 염소는 전구체와 더 빠르게 반응할 수 있다. 다시 말해서, 온도는 염소와 전구체 간의 반응에 대한 촉매이다.
전구체의 반응성을 증가시키기 위하여, 전구체는 보트에서의 제 2 챔버(532) 내에서 저항 히터(520)에 의해 가열될 수 있다. 그 후에, 염화물 반응 생성물이 챔버(502)에 전달될 수 있다. 반응성 염화물 생성물은 먼저 튜브(522)에 들어가소, 여기서 반응성 염화물 생성물은 튜브(522) 내에 고르게 분배된다. 튜브(522)는 또 다른 튜브(524)에 연결된다. 염화물 반응 생성물은 제 1 튜브(522) 내에 고르게 분배된 후에 제 2 튜브(524)에 들어간다. 이 후, 염화물 반응 생성물은 챔버(502)에 들어가고, 챔버(502)에서 염화물 반응 생성물은 질소 함유 가스와 혼합되어, 서셉터(susceptor: 514) 상에 배치되어 있는 기판(516) 상에 니트라이드 층을 형성시킨다. 한 가지 구체예에서, 서셉터(514)는 실리콘 카바이드를 포함한다. 니트라이드 층은, 예를 들어, n-형 갈륨 니트라이드를 포함할 수 있다. 다른 반응 생성물, 예컨대, 질소 및 염소는 배기부(526)를 거쳐 배기된다.
LED 및 관련 장치는, 예를 들어, III-V족 필름, 특히 III족-니트라이드 필름 층으로부터 제조될 수 있다. 본 발명의 일부 구체예는 제조 툴의 전용 챔버에서, 예컨대, 전용 MOCVD 챔버에서 갈륨 니트라이드(GaN) 층을 형성시키는 것에 관한 것이다. 본 발명의 일부 구체예에서, GaN는 이원계 GaN 필름이지만, 다른 구체예에서, GaN은 삼원계 필름(예, InGaN, AlGaN)이거나 사원계 필름(예, InAlGaN)이다. 적어도 일부 구체예에서, III족-니트라이드 물질 층은 에피택셜 방식으로 형성된다. III족-니트라이드 물질 층은 직접적으로 기판 상에 또는 기판 상에 배치된 버퍼 층 상에 형성될 수 있다. 다른 고려되는 구체예는 PVD-형성된 버퍼 층, 예를 들어, PVD-형성된 알루미늄 니트라이드 상에 직접적으로 증착된 p-형 도핑된 갈륨 니트라이드 층을 포함한다.
본 발명의 구체예는 상기 기재된 선택된 기판 상에 층을 형성하는 것에 제한되지 않음을 이해해야 한다. 다른 구체예는, 상부에 고품질의 알루미늄 니트라이드 층이, 예를 들어, 비-반응성 PVD 방법으로 스퍼터-증착될 수 있는 어떠한 적합한 비-패턴화된 또는 패턴화된 단일 결정질 기판의 사용을 포함할 수 있다. 기판은, 이로 제한되지는 않지만, 사파이어(Al2O3) 기판, 실리콘(Si) 기판, 실리콘 카바이드(SiC) 기판, 다이아몬드 상 규소(SOD) 기판, 석영(SiO2) 기판, 유리 기판, 아연 옥사이드(ZnO) 기판, 마그네슘 옥사이드(MgO) 기판, 및 리튬 알루미늄 옥사이드(LiAlO2) 기판과 같은 기판일 수 있다. 마스킹 및 에칭과 같은 어떠한 잘 알려진 방법이 평면 기판으로부터 포스트(post)와 같은 특징부를 형성시켜 패턴화된 기판을 생성시키는데 사용될 수 있다. 그러나, 특정의 구체예에서, 패턴화된 사파이어 기판(PSS)은 (0001) 배향으로 사용된다. 패턴화된 사파이어 기판은 특정 유형의 LED의 제작에서 사용하기에 바람직할 수 있는데, 그 이유는 이들이 신형의 고체 상태 조명 장치의 제조에 매우 유용한 광추출 효율을 증가시키기 때문이다. 기판 선택 기준은 결함 형성을 완화시키는 격자 정합 및 열 응력을 완화시키는 열팽창 계수(coefficient of thermal expansion: CTE) 정합을 포함할 수 있다.
상기 기재된 바와 같이, III족-니트라이드 필름은 도핑될 수 있다. III족-니트라이드 필름은 어떠한 p-형 도펀트, 예컨대, 이로 제한되지는 않지만, Mg, Be, Ca, Sr, 또는 2개의 원자가 전자를 지니는 어떠한 I족 또는 II족 원소를 사용하여 P-형으로 도핑될 수 있다. III족-니트라이드 필름은 1X1016 내지 1X1020 원자/cm3의 전도도 수준으로 p-형으로 도핑될 수 있다. III족-니트라이드 필름은, 이로 제한되지는 않지만, 규소 또는 산소, 또는 어떠한 적합한 IV족 또는 VI족 원소와 같은 어떠한 n-형 도펀트를 사용하여 n-형으로 도핑될 수 있다. III족-니트라이드 필름은 1X1016 내지 1X1020 원자/cm3의 전도도 수준으로 n-형 도핑될 수 있다.
상기 공정은 클러스터 툴 내의 전용 챔버, 또는 하나 이상의 챔버를 지니는 다른 툴, 예를 들어, LED 층을 제조하기 위한 전용 챔버를 지니도록 배열된 인-라인 툴에서 수행될 수 있음을 이해해야 한다. 또한, 본 발명의 구체예는 LED 제조로 제한되지 않아야 함을 이해해야 한다. 예를 들어, 또 다른 구체예에서, LED 장치가 아닌 장치, 예컨대, 이로 제한되지는 않지만, 전계-효과 트랜지스터(field-effect transistor: FET) 장치, 또는 전력 장치가 본원에 기재된 방법에 의해 제조될 수 있다. 그러한 구체예에서, 층의 구조물 상부 상에 p-형 물질이 필요하지 않을 수 있다. 그 대신에, n-형 또는 비-도핑된 물질이 p-형 층 대신 사용될 수 있다. 또한, 증착 및/또는 열적 어닐링의 다양한 조합과 같은 다중 작업이 단일 공정 챔버에서 수행될 수 있음을 이해해야 한다.
본 발명의 구체예들은 컴퓨터 프로그램 제품, 또는 소프트웨어로서 제공될 수 있고, 여기에는, 본 발명에 따른 공정을 수행하는 컴퓨터 시스템(또는 다른 전자 장치들)을 프로그래밍하는데 사용될 수 있는 이에 저장되는 명령어들을 지니는 기계-판독가능한 매체가 포함될 수 있다. 기계-판독가능한 매체는 기계(예, 컴퓨터)에 의해 판독가능한 형태로 정보를 저장하거나 전송하기 위한 어떠한 메카니즘을 포함한다. 예를 들어, 기계-판독가능한(예, 컴퓨터-판독가능한) 매체는 기계(예, 컴퓨터) 판독가능한 저장 매체(예, 읽기 전용 메모리(read only memory: "ROM"), 임의 접근 메모리(random access memory: "RAM"), 자기 디스크 저장 매체, 광학 저장 매체, 플래쉬 메모리 장치들 등), 기계(예, 컴퓨터) 판독가능한 전송 매체(전기적, 광학적, 음향 또는 다른 형태의 전파 신호들(예, 적외선 신호들, 디지털 신호들 등)) 등을 포함한다.
도 6은, 기계가 본원에 논의된 방법들 중 어느 하나 이상을 수행하도록 하나의 집합의 명령들이 실행될 수 있는 예시 형태의 컴퓨터 시스템(600)에서 기계의 다이어그램 도면을 도시한 것이다. 대안적인 구체예들에서, 기계는 근거리 통신망(Local Area Network: LAN), 인트라넷, 익스트라넷, 또는 인터넷으로 다른 기계들에 연결(예, 네트워킹)될 수 있다. 기계는 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계의 역할로 또는 피어-투-피어식(peer-to-peer)(또는 분산된) 네트워크 환경에서 피어 기계로서 작동될 수 있다. 기계는 퍼스널 컴퓨터(personal computer: PC), 태블릿 PC, 셋-탑 박스(set-top box: STB), 개인용 정보 단말기(Personal Digital Assistant: PDA), 휴대 전화, 웹 어플리언스(web appliance), 서버, 네트워크 라우터(network router), 스위치(switch) 또는 브릿지(bridge), 또는 그러한 기계에 의해 취해질 동작들을 명시하는 하나의 집합의 명령들을 수행할 수 있는(순차적 또는 다르게) 어떠한 기계일 수 있다. 추가로, 단지 하나의 기계가 도시되었지만, 용어 "기계"는 또한 하나의 집합(또는 다중 집합)의 명령어들을 개별적으로 또는 공동으로 실행하여 본원에 논의된 방법들 중 어느 하나 이상을 수행하는 어떠한 기계들의 모음(예, 컴퓨터)을 포함하는 것으로 이해되어야 한다. 한 가지 구체예에서, 컴퓨터 시스템(600)은 상기 기재된 도 1, 2a, 3a, 3b, 4 또는 5와 연관되어 기재된 장치를 위한 컴퓨팅 디바이스를 사용하기에 적합하다.
예시적인 컴퓨터 시스템(600)은 프로세서(602), 주 메모리(604)(예, 읽기-전용 메모리(ROM), 플래쉬 메모리, 동적 임의 접근 메모리(dynamic random access memory: DRAM), 예컨대, 동기화 DRAM(synchronous DRAM: SDRAM) 또는 램버스 DRAM(Rambus DRAM: RDRAM) 등), 정적 메모리(606)(예, 플래쉬 메모리, 정적 임의 접근 메모리(static random access memory: SRAM) 등), 및 이차 메모리(618)(예, 데이터 저장 장치)를 포함하고, 이들은 버스(630)를 통해 서로 소통된다.
전구체(602)는 하나 이상의 일반적인 용도의 처리 장치들, 예컨대, 마이크로프로세서, 또는 중앙 처리 장치 등을 나타낸다. 더욱 특히, 프로세서(602)는 복합 명령어 집합 계산(complex instruction set computing: CISC) 마이크로프로세서, 축소 명령어 집합 계산(reduced instruction set computing: RISC) 마이크로프로세서, 매우 긴 명령어(very long instruction word: VLIW) 마이크로프로세서, 다른 명령어 집합들을 구현하는 프로세서, 또는 명령어 집합들의 조합을 구현하는 프로세서들일 수 있다. 프로세서(602)는 또한 응용 주문형 집적 회로(application specific integrated circuit: ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array: FPGA), 디지털 신호 프로세서(digital signal processor: DSP), 또는 네트워크 프로세서(network processor) 등과 같은 하나 이상의 특수-용도의 가공 장치들일 수 있다. 프로세서(602)는 본원에 논의된 작업들을 수행하기 위한 프로세싱 로직(processing logic: 626)을 실행하도록 구성된다.
컴퓨터 시스템(600)은 네트워크 인터페이스 장치(network interface device: 608)를 추가로 포함할 수 있다. 컴퓨터 시스템(600)은 또한 비디오 디스플레이 장치(610)(예, 액정 디스플레이(liquid crystal display: LCD) 또는 음극 선관(cathode ray tube: CRT)), 영숫자식 입력 장치(612)(예, 키보드), 커서 제어 장치(614)(예, 마우스), 및 신호 발생 장치(616)(예, 스피커)를 포함할 수 있다.
이차 메모리(618)는 본원에 기재된 방법들 또는 기능들 중 어느 하나 이상을 구현하는 하나 이상의 집합들의 명령어들(예, 소프트웨어(622))이 저장되는 기계-접근가능한 저장 매체(또는 더욱 특히, 컴퓨터-판독가능한 저장 매체)(631)를 포함할 수 있다. 소프트웨어(622)는 또한 컴퓨터 시스템(600)에 의한 이의 실행 동안 주 메모리(604) 내에 및/또는 프로세서(602) 내에 완전히 또는 적어도 부분적으로 존재할 수 있고, 이러한 주 메모리(604) 및 프로세서(602)에는 또한 기계-판독가능한 저장 매체가 구성된다. 소프트웨어(622)는 추가로 네트워크 인터페이스 장치(608)를 통해 네트워크(620)에 걸쳐 전송되거나 수신될 수 있다.
기계-접근가능한 저장 매체(631)가 단일 매체인 것으로 예시적인 구체예에 나타나 있지만, 용어 "기계-판독가능한 저장 매체"는 하나 이상의 집합들의 명령어들을 저장하는 단일 매체 또는 다중 매체(예, 집중형 또는 분산형 데이터베이스, 및/또는 관련 캐시들 및 서버들)를 포함하는 것으로 이해되어야 한다. 용어 "기계-판독가능한 저장 매체"는 또한, 기계에 의한 실행을 위해 하나의 집합의 명령어들을 저장하거나 인코딩할 수 있고, 기계가 본 발명의 방법들 중 어떠한 하나 이상을 수행하게 하는 어떠한 매체를 포함하는 것으로 이해되어야 한다. 이에 따라서, 용어 "기계-판독가능한 저장 매체"는 이로 제한되지는 않지만, 솔리드-스테이트 메모리(solid-state memory), 및 광학 및 자기 매체를 포함하는 것으로 이해되어야 한다.
본 발명의 한 가지 구체예에 따르면, 비-일시적 기계-접근가능한 저장 매체는 산소 제어된 방식으로 GaN-기반 광전자 및 전자 장치를 위한 PVD AlN 버퍼를 형성시키는 방법을 수행하는 데이터 처리 시스템을 야기하는 이에 저장되는 명령어를 지닌다.
이와 같이, GaN-기반 광전자 및 전자 장치를 위한 산소 제어된 PVD AlN 버퍼가 개시된다.

Claims (25)

  1. 기판 상에 AlN 층을 형성하고;
    AlN 층을 형성하는 동안 산소-함유 가스를 유동시킴으로써 AlN 층 및 기판 사이의 인터페이스를 포함하는 AlN 층에 산소를 도입하는 것을 포함하며,
    산소-함유 가스를 유동시키는 것은 AlN 층을 형성하는 것을 시작한 후에 시작하는 방법.
  2. 제1항에 있어서, AlN 층을 형성하는 것이 알루미늄-함유 타겟을 질소-함유 가스와 반응시키는 것을 포함하는 방법.
  3. 제1항에 있어서, AlN 층을 형성하는 것이 알루미늄-함유 타겟을 질소-함유 가스를 기반으로 한 플라즈마와 반응시키는 것을 포함하는 방법.
  4. 제1항에 있어서, AlN 층에 산소를 도입하는 것이 AlN 층에 1E18 내지 1E23 cm-3 범위의 농도의 산소를 도입하는 것을 포함하는 방법.
  5. 제1항에 있어서, AlN 층에 산소를 도입하는 것이 AlN 층의 벌크 부분에 산소를 도입하는 것을 더 포함하는 방법.
  6. 제1항에 있어서, AlN 층에 산소를 도입하는 것이 AlN 층의 표면에 산소를 도입하는 것을 더 포함하는 방법.
  7. 제1항에 있어서, AlN 층 상에 GaN 층을 형성하는 것을 더 포함하는 방법.
  8. 제1항에 있어서, 기판이 사파이어, Si, SiC, 다이아몬드 상 Si, ZnO, LiAlO2, MgO, GaAs, 구리 및 W로 이루어진 군으로부터 선택되는 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020217001822A 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼 KR102342796B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217041575A KR102455498B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361785128P 2013-03-14 2013-03-14
US61/785,128 2013-03-14
US13/947,857 US9929310B2 (en) 2013-03-14 2013-07-22 Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US13/947,857 2013-07-22
KR1020207004748A KR102207804B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼
PCT/US2013/053994 WO2014143141A1 (en) 2013-03-14 2013-08-07 Oxygen controlled pvd aln buffer for gan-based optoelectronic and electronic devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207004748A Division KR102207804B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217041575A Division KR102455498B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Publications (2)

Publication Number Publication Date
KR20210010655A KR20210010655A (ko) 2021-01-27
KR102342796B1 true KR102342796B1 (ko) 2021-12-22

Family

ID=51523604

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020157028842A KR102080926B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼
KR1020217001822A KR102342796B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼
KR1020207004748A KR102207804B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼
KR1020217041575A KR102455498B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157028842A KR102080926B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020207004748A KR102207804B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼
KR1020217041575A KR102455498B1 (ko) 2013-03-14 2013-08-07 Gan-기반 광전자 및 전자 장치를 위한 산소 제어된 pvd aln 버퍼

Country Status (6)

Country Link
US (6) US9929310B2 (ko)
JP (1) JP6325647B2 (ko)
KR (4) KR102080926B1 (ko)
CN (1) CN105121693A (ko)
TW (1) TWI624963B (ko)
WO (1) WO2014143141A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929310B2 (en) * 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
EP3243212B1 (en) * 2015-01-09 2021-06-09 Swegan AB Semiconductor device structure and methods of its production
JP6465785B2 (ja) * 2015-10-14 2019-02-06 クアーズテック株式会社 化合物半導体基板
CN105633233B (zh) * 2015-12-31 2018-01-12 华灿光电(苏州)有限公司 AlN模板、AlN模板的制备方法及AlN模板上的半导体器件
CN105470357B (zh) * 2015-12-31 2018-05-22 华灿光电(苏州)有限公司 AlN模板、AlN模板的制备方法及AlN模板上的半导体器件
CN105609603A (zh) 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 一种具有复合结构的氮化物缓冲层
CN105590839B (zh) * 2016-03-22 2018-09-14 安徽三安光电有限公司 氮化物底层、发光二极管及底层制备方法
CN106025026B (zh) * 2016-07-15 2018-06-19 厦门乾照光电股份有限公司 一种用于发光二极管的AlN缓冲层及其制作方法
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
JP6810406B2 (ja) * 2016-12-06 2021-01-06 株式会社サイオクス 窒化物半導体テンプレートの製造方法
EP3642168A1 (en) * 2017-06-23 2020-04-29 Sinmat, Inc. Film for applying compressive stress to ceramic materials
KR102295780B1 (ko) 2017-08-31 2021-09-01 도시바 마테리알 가부시키가이샤 반도체 발광 소자 및 그의 제조 방법
CN109671819B (zh) * 2018-11-30 2020-05-19 华灿光电(浙江)有限公司 一种GaN基发光二极管外延片及其制备方法
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104628A (zh) * 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
US11631785B2 (en) * 2019-11-22 2023-04-18 Sumitomo Chemical Company, Limited Group-III nitride laminated substrate and semiconductor light-emitting element
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US11901484B2 (en) * 2021-06-11 2024-02-13 Applied Materials, Inc. Methods and systems for UV LED structures

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011108422A1 (ja) * 2010-03-01 2011-09-09 シャープ株式会社 窒化物半導体素子の製造方法、窒化物半導体発光素子および発光装置

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US193014A (en) * 1877-07-10 Improvement in burial-caskets
JP2593960B2 (ja) 1990-11-29 1997-03-26 シャープ株式会社 化合物半導体発光素子とその製造方法
EP0576566B1 (en) 1991-03-18 1999-05-26 Trustees Of Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
US5652176A (en) 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
JPH09143708A (ja) 1995-11-28 1997-06-03 Applied Materials Inc スパッタリング装置のターゲット
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6533874B1 (en) 1996-12-03 2003-03-18 Advanced Technology Materials, Inc. GaN-based devices using thick (Ga, Al, In)N base layers
JPH11340165A (ja) 1998-05-20 1999-12-10 Applied Materials Inc スパッタリング装置及びマグネトロンユニット
US6423984B1 (en) 1998-09-10 2002-07-23 Toyoda Gosei Co., Ltd. Light-emitting semiconductor device using gallium nitride compound semiconductor
US6426512B1 (en) 1999-03-05 2002-07-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device
US6737240B1 (en) 1999-05-25 2004-05-18 Rigel Pharmaceuticals, Inc. Methods of screening for a multi-drug resistance conferring peptide
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
JP3963068B2 (ja) 2000-07-19 2007-08-22 豊田合成株式会社 Iii族窒化物系化合物半導体素子の製造方法
AU2002219966A1 (en) 2000-11-30 2002-06-11 North Carolina State University Methods and apparatus for producing m'n based materials
JP4710139B2 (ja) 2001-01-15 2011-06-29 豊田合成株式会社 Iii族窒化物系化合物半導体素子
US7968362B2 (en) 2001-03-27 2011-06-28 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
JP4875806B2 (ja) 2001-07-31 2012-02-15 アプライド マテリアルズ インコーポレイテッド 加熱プレートの取付構造および半導体製造装置
JP3785970B2 (ja) * 2001-09-03 2006-06-14 日本電気株式会社 Iii族窒化物半導体素子の製造方法
CN1241192C (zh) * 2001-10-25 2006-02-08 松下电器产业株式会社 用于光盘的薄膜沉积方法
JP2004168622A (ja) 2002-11-22 2004-06-17 Kyocera Corp 単結晶サファイア基板およびその製造方法
EP1672091B1 (en) 2003-05-15 2009-10-28 National Institute of Advanced Industrial Science and Technology Laminate containing wurtzrite crystal layer, and method for production thereof
JP4117376B2 (ja) 2003-05-16 2008-07-16 独立行政法人産業技術総合研究所 ウルツ鉱型結晶層を含む積層体及びその製造方法
JP4468744B2 (ja) * 2004-06-15 2010-05-26 日本電信電話株式会社 窒化物半導体薄膜の作製方法
JP4514584B2 (ja) * 2004-11-16 2010-07-28 富士通株式会社 化合物半導体装置及びその製造方法
JP2006347776A (ja) 2005-06-13 2006-12-28 Sumitomo Metal Mining Co Ltd サファイア基板およびその製造方法
JP2007150259A (ja) * 2005-11-02 2007-06-14 Sharp Corp 窒化物半導体発光素子およびその製造方法
US8435879B2 (en) * 2005-12-12 2013-05-07 Kyma Technologies, Inc. Method for making group III nitride articles
KR100714629B1 (ko) 2006-03-17 2007-05-07 삼성전기주식회사 질화물 반도체 단결정 기판, 그 제조방법 및 이를 이용한수직구조 질화물 발광소자 제조방법
JP4637781B2 (ja) 2006-03-31 2011-02-23 昭和電工株式会社 GaN系半導体発光素子の製造方法
WO2007118204A2 (en) * 2006-04-06 2007-10-18 Applied Materials, Inc. Reactive sputtering zinc oxide transparent conductive oxides onto large area substrates
KR100707215B1 (ko) 2006-04-25 2007-04-13 삼성전자주식회사 고배향성 실리콘 박막 형성 방법, 3d 반도체소자 제조방법 및 3d 반도체소자
US20070251819A1 (en) 2006-05-01 2007-11-01 Kardokus Janine K Hollow cathode magnetron sputtering targets and methods of forming hollow cathode magnetron sputtering targets
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
JP5272361B2 (ja) 2006-10-20 2013-08-28 豊田合成株式会社 スパッタ成膜装置およびスパッタ成膜装置用のバッキングプレート
JP2008135463A (ja) 2006-11-27 2008-06-12 Showa Denko Kk Iii族窒化物半導体の製造方法、iii族窒化物半導体発光素子の製造方法、及びiii族窒化物半導体発光素子、並びにランプ
GB0702560D0 (en) 2007-02-09 2007-03-21 Univ Bath Production of Semiconductor devices
JP4191227B2 (ja) 2007-02-21 2008-12-03 昭和電工株式会社 Iii族窒化物半導体発光素子の製造方法及びiii族窒化物半導体発光素子並びにランプ
EP2030958B1 (en) * 2007-08-27 2013-04-10 Rohm and Haas Electronic Materials LLC Method for producing polycrystalline monolithic magnesium aluminate spinels
JP2009081406A (ja) 2007-09-27 2009-04-16 Showa Denko Kk Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
JP5018423B2 (ja) * 2007-11-20 2012-09-05 住友電気工業株式会社 Iii族窒化物半導体結晶基板および半導体デバイス
KR101281684B1 (ko) 2008-01-25 2013-07-05 성균관대학교산학협력단 질화물 반도체 기판의 제조방법
JP2011516867A (ja) * 2008-04-03 2011-05-26 ザ レジェンツ オブ ザ ユニヴァースティ オブ カリフォルニア 細胞、小胞、ナノ粒子およびバイオマーカーを分離および単離するためのエキソビボの多次元システム
WO2009129353A1 (en) 2008-04-15 2009-10-22 Purdue Research Foundation Metallized silicon substrate for indium gallium nitride light-emitting diode
JP2009277882A (ja) 2008-05-14 2009-11-26 Showa Denko Kk Iii族窒化物半導体発光素子の製造方法及びiii族窒化物半導体発光素子、並びにランプ
US20100055318A1 (en) 2008-08-29 2010-03-04 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
WO2010038740A1 (ja) 2008-10-03 2010-04-08 昭和電工株式会社 半導体発光素子の製造方法
JP5232972B2 (ja) * 2008-10-20 2013-07-10 豊田合成株式会社 半導体発光素子及び半導体発光素子の製造方法
US8643113B2 (en) 2008-11-21 2014-02-04 Texas Instruments Incorporated Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
US8138069B2 (en) 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100308300A1 (en) 2009-06-08 2010-12-09 Siphoton, Inc. Integrated circuit light emission device, module and fabrication process
US8507304B2 (en) * 2009-07-17 2013-08-13 Applied Materials, Inc. Method of forming a group III-nitride crystalline film on a patterned substrate by hydride vapor phase epitaxy (HVPE)
JP4681684B1 (ja) * 2009-08-24 2011-05-11 Dowaエレクトロニクス株式会社 窒化物半導体素子およびその製造方法
KR101669259B1 (ko) * 2009-09-28 2016-10-25 가부시키가이샤 도쿠야마 적층체의 제조방법
JP5631889B2 (ja) * 2009-11-10 2014-11-26 株式会社トクヤマ 積層体の製造方法
JP2011108422A (ja) 2009-11-13 2011-06-02 Hitachi Maxell Ltd 電池パック
US20110117376A1 (en) 2009-11-17 2011-05-19 Mingwei Zhu Method of Gallium Nitride growth over metallic substrate using Vapor Phase Epitaxy
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing
JP5937297B2 (ja) * 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
WO2011108552A1 (ja) * 2010-03-02 2011-09-09 住友金属鉱山株式会社 積層体およびその製造方法、並びにそれを用いた機能素子
US20110244663A1 (en) 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
DE112011101519B4 (de) 2010-04-30 2015-06-25 Canon Anelva Corp. Epitaxialfilmbildungsverfahren, epitaxialer Dünnfilm und dessen Verwendung zur Herstellung eines halbleitertechnischen Licht emittierenden Elements
JP5627929B2 (ja) * 2010-05-28 2014-11-19 富士フイルム株式会社 非晶質酸化物薄膜の製造方法及び電界効果型トランジスタの製造方法
US20120043198A1 (en) * 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
JP5578025B2 (ja) 2010-10-27 2014-08-27 王子ホールディングス株式会社 吸収性物品
US8895115B2 (en) * 2010-11-09 2014-11-25 Southwest Research Institute Method for producing an ionized vapor deposition coating
US8409895B2 (en) 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
JP5661452B2 (ja) 2010-12-27 2015-01-28 キヤノンアネルバ株式会社 スパッタリング方法
WO2012090818A1 (ja) * 2010-12-29 2012-07-05 シャープ株式会社 窒化物半導体構造、窒化物半導体発光素子、窒化物半導体トランジスタ素子、窒化物半導体構造の製造方法および窒化物半導体素子の製造方法
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9396933B2 (en) * 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
KR102317822B1 (ko) * 2012-07-02 2021-10-25 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
US8946775B2 (en) * 2012-08-22 2015-02-03 Industrial Technology Research Institute Nitride semiconductor structure
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
JP6603654B2 (ja) * 2013-05-03 2019-11-06 アプライド マテリアルズ インコーポレイテッド フィルムスタック上にハードマスクを形成するための方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011108422A1 (ja) * 2010-03-01 2011-09-09 シャープ株式会社 窒化物半導体素子の製造方法、窒化物半導体発光素子および発光装置

Also Published As

Publication number Publication date
US10236412B2 (en) 2019-03-19
TWI624963B (zh) 2018-05-21
KR102080926B1 (ko) 2020-02-24
KR20210156356A (ko) 2021-12-24
JP2016518697A (ja) 2016-06-23
KR102207804B1 (ko) 2021-01-26
US10193014B2 (en) 2019-01-29
US11575071B2 (en) 2023-02-07
US20210328104A1 (en) 2021-10-21
US9929310B2 (en) 2018-03-27
KR102455498B1 (ko) 2022-10-14
CN105121693A (zh) 2015-12-02
WO2014143141A1 (en) 2014-09-18
US20140264363A1 (en) 2014-09-18
KR20150131217A (ko) 2015-11-24
US10546973B2 (en) 2020-01-28
US20200127164A1 (en) 2020-04-23
KR20200020024A (ko) 2020-02-25
JP6325647B2 (ja) 2018-05-16
US20160035937A1 (en) 2016-02-04
US20190172973A1 (en) 2019-06-06
TW201436283A (zh) 2014-09-16
KR20210010655A (ko) 2021-01-27
US20180261720A1 (en) 2018-09-13
US11081623B2 (en) 2021-08-03

Similar Documents

Publication Publication Date Title
US11575071B2 (en) Oxygen controlled PVD ALN buffer for GAN-based optoelectronic and electronic devices
US11011676B2 (en) PVD buffer layers for LED fabrication
US8409895B2 (en) Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
US10439099B2 (en) UV light emitting devices and systems and methods for production

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant