KR101919423B1 - 그래핀 반도체 및 이를 포함하는 전기소자 - Google Patents

그래핀 반도체 및 이를 포함하는 전기소자 Download PDF

Info

Publication number
KR101919423B1
KR101919423B1 KR1020120084578A KR20120084578A KR101919423B1 KR 101919423 B1 KR101919423 B1 KR 101919423B1 KR 1020120084578 A KR1020120084578 A KR 1020120084578A KR 20120084578 A KR20120084578 A KR 20120084578A KR 101919423 B1 KR101919423 B1 KR 101919423B1
Authority
KR
South Korea
Prior art keywords
graphene
layer
metal
metal atom
oxide
Prior art date
Application number
KR1020120084578A
Other languages
English (en)
Other versions
KR20140017399A (ko
Inventor
안종렬
서정탁
박지훈
전철호
Original Assignee
삼성전자주식회사
성균관대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 성균관대학교 산학협력단 filed Critical 삼성전자주식회사
Priority to KR1020120084578A priority Critical patent/KR101919423B1/ko
Priority to US13/905,527 priority patent/US9269764B2/en
Publication of KR20140017399A publication Critical patent/KR20140017399A/ko
Application granted granted Critical
Publication of KR101919423B1 publication Critical patent/KR101919423B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/08Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • H01L21/02628Liquid deposition using solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

그래핀에 금속원자층을 흡착시킴으로써 비화학적 결합에 의한 전자의 편재화로 인해 상기 그래핀에 밴드갭을 형성하여 반도체화를 달성할 수 있다. 이와 같은 금속 함유 그래핀은 센서, 트랜지스터와 같은 다양한 전기소자에 활용할 수 있다.

Description

그래핀 반도체 및 이를 포함하는 전기소자{Graphene semiconductor, and electronic device comprising the same}
그래핀 반도체 및 이를 포함하는 전기소자에 관한 것으로서, 그래핀에 금속원자층을 형성하여 반도체화시킴으로써 트랜지스터를 포함하는 다양한 전기소자에 유용하게 사용할 수 있다.
일반적으로 그래파이트(graphite)는 탄소 원자가 6각형 모양으로 연결된 판상의 2차원 그래핀이 적층되어 있는 구조이다. 최근 그래파이트로부터 한층 또는 수층의 그래핀을 벗겨 내어, 상기 그래핀의 특성을 조사한 결과 기존의 물질과 다른 매우 유용한 특성이 발견되었다.
예를 들어 그래핀은 자체적으로 금속성을 가지므로 전도성을 갖게 된다. 이러한 전도성으로 인해 도 1에 도시한 바와 같이 그래핀은 밴드갭이 존재하지 않게 된다. 따라서 그래핀에 반도체 특성을 부여하기 위해서는 밴드갭을 형성할 필요가 있다.
일태양에 따르면, 반도체 특성이 부여된 그래핀을 제공할 수 있다.
다른 일태양에 따르면, 그래핀의 밴드갭을 가역적으로 제어할 수 있는 방법을 제공한다.
또 다른 일태양에 따르면 상기 반도체 특성이 부여된 그래핀을 구비하는 전기소자를 제공할 수 있다.
일태양에 따르면,
그래핀; 및
상기 그래핀 상에 형성된 금속 원자층;을 구비하는 그래핀 반도체를 제공하며,
상기 금속 원자층은 상기 그래핀과 전하이동 가능한 금속을 포함한다.
다른 태양에 따르면,
기판 상의 그래핀을 준비하는 단계;
상기 그래핀 상에, 그래핀과 전하이동 가능한 금속을 포함하는 금속 원자층을 형성하는 단계;를 포함하는 그래핀 밴드갭의 제어방법을 제공한다.
또 다른 태양에 따르면,
상기 그래핀 반도체를 구비하는 전기소자가 제공된다.
상기 그래핀 반도체는 비화학적 결합에 의한 전하의 편재화를 통해 높은 밴드갭을 형성하여 그래핀을 반도체화시키므로 그래핀 자체의 고유 특성을 유지하면서 반도체 특성을 그래핀에 부여하는 것이 가능해진다. 따라서 그래핀의 고이동도와 같은 특성을 유지하면서 높은 밴드갭을 부여할 수 있으므로 트랜지스터와 같은 다양한 전기소자에 유용하게 활용할 수 있다.
도 1은 일반적인 그래핀의 밴드갭을 나타낸다.
도 2는 일구현예에 따른 전계 효과형 트랜지스터의 구조를 나타내는 개략도이다.
도 3은 제조예 1에서 얻어진 그래핀 단일층의 광투과도를 나타낸다.
도 4 내지 도 7은 실시예 1에서 얻어진 단일층 그래핀의 나트륨 증착 과정을 나타낸다.
도 8은 실시예 1 에서 얻어진 나트륨 함유 단일층 그래핀의 밴드갭 측정 결과를 나타낸다.
일태양에 따르면, 금속 원자층을 포함하는 그래핀 반도체를 제공한다.
본 명세서에서 사용되는 "그래핀"이라는 용어는 복수개의 탄소원자들이 서로 공유결합으로 연결되어 폴리시클릭 방향족 분자를 형성한 것으로서, 상기 공유결합으로 연결된 탄소원자들은 기본 반복단위로서 6원환을 형성하나, 5원환 및/또는 7원환을 더 포함하는 것도 가능하다. 그 결과 상기 그래핀 단일층은 서로 공유결합된 탄소원자들(통상 sp2 결합)의 단일층으로서 보이게 되며, 실질적으로 탄소 원자의 두께를 가질 수 있다. 상기 그래핀 단일층은 일반적으로 약 97 내지 약 98%의 광투과도를 갖는다.
일반적으로 그래핀은 도 1에 도시한 바와 같이 밴드갭이 존재하지 않아 전도성을 나타낸다. 이와 같은 완전도체(밴드갭 0)인 그래핀을 반도체소자에 활용하려면 밴드갭이 있어 전류의 흐름을 제어하는 스위치 역할을 부여할 수 있어야 한다.
기판 상에서 그래핀을 성장시키는 경우, 기판과 하부 기판 사이의 상호 작용에 의해 약간의 밴드갭(~0.3eV)을 나타낼 수 있는 것으로 알려져 있으나, 여전히 반도체 소자에서 요구되는 밴드갭 크기에는 미치지 못하고 있다.
일태양에 따르면, 그래핀 상에 금속원자층을 물리적으로 형성하여 일정 크기 이상의 밴드갭을 부여하고, 용도에 따라 밴드갭 크기를 조절함으로써 양호한 온-오프 특성을 가진 반도체 소자에 이용이 가능해진다.
그래핀이 밴드갭을 가지지 않는 원인은 그래핀 격자가 가지는 고유의 대칭성에 기인하므로 외부적인 작용을 가하여 이러한 대칭성을 인위적으로 없애는 방법을 통하여 밴드갭을 형성할 수 있다. 이때 그래핀 격자의 대칭성은 깨뜨리되 그래핀 격자가 훼손되지는 않도록 하여 고유의 전자이동도 특성을 거의 그대로 보존할 필요가 있다.
그래핀 상에 상기 그래핀과 전하이동이 가능한 금속 원자층을 형성할 경우 상기 금속 원자는 그래핀 자체를 변질시키지 않으면서 그래핀 격자의 대칭성을 깨뜨리므로 그래핀의 밴드갭을 증가시키게 된다.
이와 같은 금속 원자층은 그래핀 상에 비화학적인 결합, 예를 들어 흡착 등의 결합을 통해 형성될 수 있으며, 이때 금속 원자의 흡착량 제어를 통해 그래핀 밴드갭을 조절할 수 있게 된다. 그 결과 금속 원자층이 흡착된 그래핀은 반도체 특성을 나타낼 수 있다. 즉, 금속 원자층이 그래핀의 적어도 일표면에서 흡착 등과 같은 비화학적 결합을 통해 그래핀의 전하(charge) 분리를 유발하여 밴드갭을 형성할 수 있게 되어 상기 그래핀에 반도체 특성을 부여하게 된다.
이와 같은 밴드갭은 화학적 결합이 아닌 비화학적 결합, 예를 들어 흡착 등과 같은 물리적 결합에 의해 형성이 되므로 그래핀 자체의 순수 전하량(net charge)은 "제로"가 된다. 따라서 이와 같은 방법으로 밴드갭을 그래핀에 부여하게 되면 단지 전하의 편재화를 통해 밴드갭이 형성되므로 그래핀 자체에 손상이 가해지지 않으므로 그래핀 자체가 갖는 고이동도(high mobility)에 대한 감소 없이 그래핀에 밴드갭을 부여하여 반도체화시킬 수 있게 된다.
상기 밴드갭은 그 크기가 커질수록 Ion/Ioff가 증가하므로 이를 채용한 전기소자의 특성을 향상시키는 것이 가능해진다. 상기 그래핀 상에 형성되는 밴드갭으로서는 약 0.4eV 이상, 예를 들어 약 0.45eV 내지 약 0.8eV, 약 0.6eV 내지 약 0.8eV을 예시할 수 있다.
이와 같은 밴드갭은 상기 금속원자층을 구성하는 금속의 종류 및 농도에 따라 조절될 수 있으며, 상기 금속으로서는 알칼리 금속을 사용할 수 있고, 예를 들어 리튬(Li), 나트륨(Na), 칼륨(K), 루비듐(Rb), 세슘(Cs) 및 프란슘(Fr)으로 이루어진 군으로부터 선택된 1종 이상을 사용할 수 있으며, 예를 들어 나트륨(Na)을 사용할 수 있다.
이와 같은 금속은 상기 그래핀의 적어도 일표면상에 존재하게 되며, 원자층 증착법(ALD), 화학기상증착법(CVD) 등의 방법을 통해 흡착 등과 같은 비화학적 결합을 형성하게 된다. 이와 같은 결합을 통해 상기 금속은 상기 그래핀 상에서 금속 원자층, 예를 들어 금속 나노 구조물을 형성하게 되며, 이와 같은 나노 구조물은 박막과 같은 2차원 구조를 가질 수 있다. 상기 형성 공정은 완화된 공정인 상온 등에서 수행될 수 있으며, 예를 들어 약 300K에서 수행될 수 있다.
상기 금속이 그래핀 상에서 형성하는 나노 구조물은 2차원의 박막 형태로서 나노닷, 나노리본, 나노캐비티, 나노로드, 나노클러스터, 나노 아일랜드 등의 다양한 형태, 또는 이들이 혼재하는 형태로 존재할 수 있으며, 이들은 원자층 두께로 약 1층 내지 약 100층의 두께로 형성되거나, 약 2.0 내지 약 200ㅕ의 두께로 형성될 수 있다. 이들 금속이 존재하는 영역은 상기 그래핀의 전체 표면적의 약 30% 내지 약 99%, 예를 들어 약 50% 내지 약 90%의 영역을 차지할 수 있다.
상기와 같은 금속원소층의 2차원 나노 구조물이 그래핀의 적어도 일면 상에 비화학적 결합을 형성함으로써 전하의 편재화가 발생하여 소정 크기의 그래핀의 밴드갭이 형성된다. 이와 같은 밴드갭은 그래핀 자체의 손상 없이 형성되므로 그래핀이 갖는 고이동도와 같은 고유의 물성을 유지하면서 그래핀의 반도체화를 달성하게 된다.
상술한 바와 같이 금속원자층을 함유하는 그래핀은 그 하부에 기판을 더 구비할 수 있다. 이와 같은 기판으로서는 그 종류에 제한이 없으나, 예를 들어 Si 기판, SiC 기판, 글래스 기판, GaN 기판, 실리카 기판 등의 무기질 기판; Ni, Co, Fe, Pt, Pd, Au, Al, Cr, Cu, Mn, Mo, Rh, Ir, Ta, Ti, W, U, V 및 Zr 기판; 플라스틱 기판 중 어느 하나로 이루어지는 금속 기판을 하나 이상 사용할 수 있다.
상기 금속원자층은 그래핀 상에 다양한 증착 공정으로 형성될 수 있으며, 예를 들어 원자층 증착법, 화학기상증착법, 스퍼터링, 증발법 (evaporation) 등의 방법으로 형성될 수 있다.
상기와 같의 금속 원자층이 형성되어 밴드갭이 부여된 그래핀 반도체는 이어지는 산화 공정을 통하여 상기 금속원자층 일부가 산화물로 변하면서 금속 원자 흡착에 따른 효과가 제거되어 원래의 낮은 밴드갭을 회복할 수 있게 된다.
즉, 상기 금속원자층의 표면이 산화 공정에 의해 금속산화물을 형성하게 된다. 예를 들어 상기 금속원자가 나트륨인 경우, 나트륨 산화물이 형성된다. 이와 같은 나트륨 산화물은 패시베이션층으로 작용하여 상기 금속원자층과 그래핀 간의 전하 이동을 억제하여 그래핀에 부여된 반도체 특성을 제거하여 원래의 낮은 밴드갭을 갖게 한다. 그에 따라 그래핀에 대한 밴드갭의 가역적 공정이 가능해진다.
상기 그래핀에 대한 밴드갭 제어 방법은 반복적으로 적용할 수 있다. 즉 금속원자층의 형성 및 산화 공정을 반복적으로 수행하여 그래핀에 대한 밴드갭의 가역적 제어가 가능하다는 장점을 갖는다.
따라서, 이와 같은 그래핀에 대한 밴드갭을 가역적으로 제어할 수 있는 방법을 통해 밴드갭을 가진 상태와 갖지 않은 상태의 그래핀을 필요에 따라 다양한 목적의 소자에 이용할 수 있게 된다.
산화공정에 의해 상기 금속원자층 상에 형성되는 제1 산화물층은 버퍼층 또는 제1 패시베이션층으로서 작용하며, 그 두께는 약 1nm 내지 약 100nm의 두께를 가질 수 있으며, 예를 들어 약 5nm 내지 약 30nm의 두께를 가질 수 있다. 이와 같은 두께의 산화물층을 형성한 경우에는 내부 금속원자층까지 산소의 침투를 방지할 수 있으며, 이를 위해서는 소정 압력의 산소 분위기, 예를 들어 약 1X10-5 torr 내지약 1X10-7 torr의 압력하에 약 1 내지 약 20시간, 예를 들어 약 2 내지 10시간 동안 상기 금속원자층 표면을 산화시켜 산화물층을 형성할 수 있다.
상기 금속원자층을 통한 그래핀의 밴드갭 부여 및 상기 산화물층 형성을 통한 그래핀의 밴드갭 제어는 반복적으로 행해질 수 있으며, 예를 들어, 2회 내지 100회, 또는 2회 내지 10회 반복할 수 있다.
상기 산화물층을 형성한 후, 그 후에는 추가적인 제2 패시베이션층으로서 다른 산화물층을 형성할 수 있으며, 예를 들어 하프늄 산화물, 실리콘 산화물 등의 제2 산화물층을 형성할 수 있다.
상기 그래핀 밴드갭의 가역적 제어방법은 고비용 및 고난도의 공정을 필요로 하지 않으며 그래핀 자체의 격자 구조를 훼손하지 않으므로 그래핀 고유의 우수한 특성을 보존하면서도 그래핀에 반도체성을 부여 및 제거할 수 있게 된다.
상기 금속원자층이 형성되는 그래핀은 예를 들어 단일층 그래핀을 사용할 수 있으며, 이와 같은 단일층 그래핀 상에 금속, 예를 들어 알칼리 금속이 원자층 두께로 형성이 될 경우 밴드갭이 형성되어 그래핀에 반도체 성질을 부여하게 된다. 이때 사용하는 그래핀은 다양한 방법으로 제조할 수 있으며, 이하의 방법을 예로 들 수 있으나 이에 한정되는 것은 아니다.
- 그래핀 형성 공정 (기상법)
그래파이트화 촉매 금속막 상에 그래핀을 형성하는 방법으로서는 기상법 또는 액상법을 사용할 수 있으며, 종래 알려져 있는 방법이라면 제한 없이 사용할 수 있다.
예를 들어 상기 기상법으로서는, 그래파이트화 촉매를 막의 형태로 형성하고, 여기에 기상의 탄소 공급원을 투입하면서 열처리하여 그래핀을 생성시킨 후, 이를 냉각하에 성장시킴으로써 형성된다. 즉, 그래파이트화 촉매가 막의 형태로 존재하는 챔버 내에 기상의 탄소 공급원을 소정 압력으로 공급하면서 소정 온도에서 소정 시간 동안 열처리하면, 상기 기상의 탄소 공급원에 존재하는 탄소성분들이 서로 결합하여 6각형의 판상 구조를 형성하면서 그래핀이 생성되며, 이를 소정 냉각 속도로 냉각하면 균일한 배열 상태를 갖는 그래핀 시트를 상기 그래파이트화 촉매 금속막 상에서 얻을 수 있게 된다.
상기 그래핀 시트 형성 과정에서 탄소 공급원으로서는 탄소를 공급할 수 있으며, 300℃ 이상의 온도에서 기상으로 존재할 수 있는 물질이라면 특별한 제한 없이 사용할 수 있다. 상기 기상 탄소 공급원으로서는 카본을 함유하는 화합물이면 가능하며, 탄소수 6개 이하의 화합물이 바람직하며, 더욱 바람직하게는 탄소수 4개 이하의 화합물이고, 더욱 바람직하게는 탄소수 2개 이하의 화합물이다. 그러한 예로서는 일산화탄소, 에탄, 에틸렌, 에탄올, 아세틸렌, 프로판, 프로필렌, 부탄, 부타디엔, 펜탄, 펜텐, 사이클로펜타디엔, 헥산, 사이클로헥산, 벤젠 및 톨루엔으로 이루어진 군으로부터 선택된 하나 이상을 사용할 수 있다.
이와 같은 탄소 공급원은 그래파이트화 촉매가 존재하는 챔버 내에 일정한 압력으로 투입되는 것이 바람직하며, 상기 챔버 내에서는 상기 탄소공급원만 존재하거나, 또는 헬륨, 아르곤 등과 같은 불활성 가스와 함께 존재하는 것도 가능하다.
또한, 상기 기상 탄소 공급원과 더불어 수소를 사용할 수 있다. 수소는 금속 촉매의 표면을 깨끗하게 유지하여 기상 반응을 제어하기 위하여 사용될 수 있으며, 용기 전체 부피의 5 내지 40 부피% 사용가능하고, 바람직하게는 10 내지 30 부피%이며, 더욱 바람직하게는 15 내지 25 부피% 이다.
막 형태의 그래파이트화 촉매가 존재하는 챔버 내에 상기 기상의 탄소 공급원을 투입한 후, 이를 소정 온도에서 열처리하면 그래핀이 상기 그래파이트화 촉매의 표면 상에 형성된다. 상기 열처리 온도는 그래핀의 생성에 있어서 중요한 요소로 작용하며, 예를 들어 300 내지 2000℃, 또는 500 내지 1500℃를 사용할 수 있다.
상기와 같은 열처리는 소정 온도에서 일정한 시간 동안 유지함으로써 그래핀의 생성 정도를 조절하는 것이 가능하다. 즉 열처리 공정을 장시간 유지할 경우 생성되는 그래핀이 많아지므로, 결과적인 그래핀의 두께를 크게 할 수 있으며, 열처리 공정이 그보다 짧아지면 결과적인 그래핀의 두께가 작아지는 효과를 낳게 된다. 따라서 목적하는 단일층 그래핀의 두께를 얻기 위해서는 상기 탄소 공급원의 종류 및 공급 압력, 그래파이트화 촉매의 종류, 챔버의 크기 외에, 상기 열처리 공정의 유지시간이 중요한 요소로서 작용할 수 있다. 이와 같은 열처리 공정의 유지 시간은 예를 들어 0.001 내지 1000시간 동안 유지할 수 있다.
상기 열처리를 위한 열원으로서는 유도가열(inductin heating), 복사열, 레이져, IR, 마이크로파, 플라즈마, UV, 표면 플라즈몬 가열 등을 제한 없이 사용할 수 있다. 이와 같은 열원은 상기 챔버에 부착되어 챔버 내부를 소정 온도까지 승온시키는 역할을 수행한다.
상기와 같은 열처리 이후에, 상기 열처리 결과물은 소정의 냉각 공정을 거치게 된다. 이와 같은 냉각 공정은 생성된 그래핀이 균일하게 성장하여 일정하게 배열될 수 있도록 하기 위한 공정으로서, 급격한 냉각은 생성되는 그래핀 시트의 균열 등을 야기할 수 있으므로, 가급적 일정 속도로 서서히 냉각시킬 수 있으며, 예를 들어 분당 0.1 내지 10℃의 속도로 냉각시키는 것을 예로 들 수 있고, 자연 냉각 등의 방법을 사용하는 것도 가능하다. 상기 자연 냉각은 열처리에 사용된 열원을 단순히 제거한 것으로서, 이와 같은 열원의 제거만으로도 충분한 냉각 속도를 얻는 것이 가능해진다.
상술한 바와 같은 열처리 및 냉각 과정은 1사이클 과정으로 수행할 수 있으나, 이를 반복하여 치밀한 구조의 그래핀을 생성하는 것도 가능하다.
상기 그래파이트화 촉매는 판상 구조체인 금속막의 형태로 사용되며, 상기 탄소공급원과 접촉함으로써 탄소공급원으로부터 제공된 탄소성분들이 서로 결합하여 6각형의 판상 구조를 형성하도록 도와주는 역할을 수행한다. 그 예로서는 그래파이트 합성, 탄화반응 유도, 또는카본나노튜브 제조에 사용되는 촉매를 사용할 수 있다. 예를 들어 Ni, Co, Fe, Pt, Au, Al, Cr, Cu, Mg, Mn, Mo, Rh, Si, Ta, Ti, W, U, V 및 Zr로 이루어진 군으로부터 선택된 하나 이상을 사용할 수 있다.
상기 기상법에 의해 얻어지는 그래핀은 기상의 순수한 재료 및 고온의 열처리를 통해 얻어지므로 흠결이 거의 없는 균질한 구조를 갖는다.
- 그래핀 형성 공정 (폴리머법)
상기 단일층 그래핀을 형성하는 다른 방법으로서는 폴리머법을 예로 들 수 있다. 상기 그래파이트화 촉매 금속막에 액상 탄소계 물질을 접촉시키는 공정으로서 탄소계 물질인 탄소 함유 폴리머를 상기 기판 상에 도포하는 공정을 사용할 수 있다.
상기 탄소계 물질로서 탄소 함유 폴리머를 사용하는 경우, 일반적인 탄소 함유 폴리머라면 어느 것이나 제한 없이 사용할 수 있으나, 자기 조립 폴리머를 사용하는 경우 폴리머가 촉매 표면에서 수직 방향으로 규칙적으로 배열되어 보다 치밀한 구조의 그래핀을 형성하는 것이 가능해진다.
이와 같은 자기조립막을 형성하는 자기 조립 폴리머로서는 양친매성 폴리머, 액정 폴리머 및 전도성 폴리머로 이루어진 군으로부터 선택된 하나 이상의 자기 조립 폴리머를 사용할 수 있다.
상기 양친매성 폴리머는 구조체 내에 친수성 및 소수성 작용기를 모두 가지므로 수용액 중에서 일정한 배향으로 배열되는 것이 가능하며, 예를 들어 랭뮤어-브로젯 배열, 디핑 배열, 스핀 배열 등이 가능하다. 상기 양친매성 폴리머는 아미노기, 히드록시기, 카르복실기, 설페이트기, 설포네이트기, 포스페이트기 또는 그의 염으로 이루어진 군으로부터 선택된 하나 이상을 포함하는 친수성 작용기; 및 할로겐원자, C1-C30 알킬기, C1-C30 할로겐화 알킬기, C2-C30 알케닐기, C2-C30 할로겐화 알케닐기, C2-C30 알키닐기, C2-C30 할로겐환 알키닐기, C1-C30 알콕시기, C1-C30 할로겐화 알콕시기, C1-C30 헤테로알킬기, C1-C30 할로겐화 헤테로알킬기, C6-C30 아릴기, C6-C30 할로겐화 아릴기, C7-C30 아릴알킬기 및 C7-C30 할로겐화 아릴알킬기로 이루어진 군으로부터 선택된 하나 이상을 포함하는 소수성 작용기를 포함한다. 이와 같은 양친매성 폴리머로서는 카프르산, 라우르산, 팔미트산, 스테아르산, 미리스톨레산(myristoleic acid), 팔미톨레산(palmitoleic acid), 올레산, 스테아리돈산, 리놀렌산, 카프릴 아민, 라우릴 아민, 스테아릴 아민, 올레일 아민 등을 예로 들 수 있다.
상기 액정 폴리머는 액상 중에서 일정 배향으로 배열되는 성질을 가지고 있으며, 상기 전도성 폴리머는 용매에 용해된 후 막을 만들어서 용매가 휘발되면 자기 자신들끼리 배열하여 특정한 결정 구조를 이루게 되는 특성을 갖고 있으므로, 디핑 배열, 스핀 코팅 배열 등이 가능하다. 이와 같은 폴리머의 예로서는 폴리아세틸렌계, 폴리피롤계, 폴리티오펜계, 폴리아닐린계, 폴리플로오렌계, 폴리(3-헥실티오펜), 폴리나프탈렌계, 폴리(p-페닐렌 설파이드), 및 폴리(p-페닐렌 비닐렌)계 등을 예로 들 수 있다.
상기 탄소 함유 폴리머는 구조 내에 탄소-탄소 이중결합 또는 탄소-탄소 삼중결합 등의 중합 기능성 작용기를 적어도 하나 가질 수 있다. 이들은 막을 형성한 후 자외선 조사 등의 중합 공정에 의해 폴리머 간의 중합을 유도할 수 있다. 이러한 공정으로 얻어진 탄소계 물질은 분자량이 높기 때문에 이후 열처리시 탄소의 휘발을 억제하는 것이 가능해진다.
이와 같은 탄소 함유 폴리머의 중합 공정은 상기 그래파이트화 촉매 상에 도포하기 이전 또는 이후에 수행할 수 있다. 즉, 그래파이트화 촉매 상에 도포하기 전에 탄소 함유 폴리머 간의 중합을 유도한 경우에는, 별도의 중합공정으로 얻어진 중합 막을 상기 그래파이트화 촉매 상에 전사하여 탄소계 물질층을 형성할 수 있다.
상기 탄소 함유 폴리머는 다양한 도포법으로 상기 그래파이트화 촉매 상에 배열될 수 있는 바, 예를 들어 랭뮤어-브로젯(Langmuir-Blodgett), 딥코팅, 스핀코팅, 진공증착 등의 방법으로 상기 촉매 표면에 배열할 수 있다. 특히 이와 같은 도포 방법에 따라 상기 탄소 함유 폴리머는 기판 상에 전체적으로 도포되거나, 또는 상기 그래파이트화 촉매 상에 선택적으로 도포될 수 있다.
또한 자기 조립 유기물 중 양친매성 유기물은 분자 내에 친수성 부위와 소수성 부위를 모두 포함하고 있으며, 유기물, 예를 들어 폴리머의 친수성 부위는 친수성인 그래파이트화 촉매에 결합하여 우선적으로 촉매층 상에 고르게 배열하게 되며, 상기 양친매성 유기물의 소수성 부위는 기판의 반대쪽으로 노출되어, 촉매층과 결합되지 않은 다른 양친매성 유기물, 예를 들어 양친매성 폴리머의 친수성 부위와 결합한다. 상기 양친매성 유기물의 함량이 충분한 경우, 이와 같은 친수성-소수성 결합에 의해 상기 양친매성 유기물은 상기 촉매층 상에 순차적으로 적층된다. 이들이 순차적으로 결합하여 복수개의 층을 구성한 후, 열처리에 의해 그래핀 층을 구성하게 된다. 따라서 적절한 양친매성 유기물을 선택하고, 그 함량을 조절하여 형성되는 유기물 막의 두께를 제어함에 따라 그래핀의 층수를 단일층으로 조절하는 것이 가능해지므로 용도에 맞춰 적절한 두께의 그래핀을 제조할 수 있다는 장점을 갖게 된다.
- 그래핀 형성 공정 (액상법)
상기 단일층 그래핀을 형성하는 다른 방법으로서는 액상법을 예로 들 수 있다. 이와 같은 액상법은 그래파이트화 촉매 금속막에 액상 탄소계 물질을 접촉시킨 후 열처리하여 그래핀을 형성할 수 있다.
상기 그래파이트화 촉매 금속막에 액상 탄소계 물질을 접촉시키는 공정으로서는 탄소계 물질인 액상 탄소계 물질 내에 상기 기판을 침지한 후 예비열처리하는 공정을 사용할 수 있다.
이와 같은 액상 탄소계 물질로서는 유기 용매를 예를 들 수 있으며, 탄소를 포함하며, 상기 그래파이트화 촉매에 열분해될 수 있는 것이라면 어느 것이나 제한 없이 사용할 수 있으며, 끓는점이 60 내지 400℃인 극성 또는 비극성 유기용매를 사용할 수 있다. 이와 같은 유기용매로서는 알코올계 유기용매, 에테르계 유기 용매, 케톤계 유기용매, 에스테르계 유기용매, 유기산 유기용매 등을 사용할 수 있으며, 그래파이트화 금속 촉매와의 흡착이 용이하고, 반응성이 좋으며, 환원력이 우수하다는 측면에서 알코올계 및 에테르계 유기용매를 사용하는 것이 보다 바람직하다. 이와 같은 알코올계 유기용매로서는 1가 알코올류 및 다가 알코올류 등을 단독으로 또는 혼합하여 사용할 수 있으며, 상기 1가 알코올로서는 프로판올, 펜타올, 헥사놀, 헵타놀, 옥타놀 등을 사용할 수 있으며, 다가 알코올로서는 프로필렌 글리콜, 디에틸렌 글리콜, 디프로필렌 글리콜, 트리에틸렌 글리콜, 트리프로필렌 글리콜, 옥틸렌 글리콜, 테트라에틸렌 글리콜, 네오펜틸 글리콜, 1,2-부탄디올, 1,3-부탄디올, 1,4-부탄디올, 2,3-부탄디올, 디메틸-2,2-부탄디올-1,2 및 디메틸-2,2-부탄디올-1,3 등을 사용할 수 있다. 상기 1가 알코올류 및 다가 알코올류는 히드록시기 외에 에테르기를 포함할 수 있다.
상기 액상 탄소계 물질을 사용하는 경우는, 예비 열처리 과정에 의해 침탄 과정을 진행할 수 있으며, 이와 같은 예비 열처리 과정에 의해 액상 탄소계 물질은 그래파이트화 촉매에 의해 열분해된다. 액상 탄소계 물질이 상기 그래파이트화 촉매에 의해 열분해되는 과정은 문헌(Nature, vol 418, page 964) 등에 이미 알려져 있으며, 예를 들어 다가 알코올과 같은 유기 용매의 열분해 결과물은 알칸, H2, CO2, H2O 등이며, 분해 결과물 중 탄소 성분이 촉매 내부에 침탄된다. 상기 문헌은 인용에 의해 본 명세서에 통합된다.
이와 같은 열분해를 위한 상기 예비 열처리 과정은 100 내지 400℃의 온도에서 10분 내지 24시간 동안 수행할 수 있다.
한편, 상기와 같은 침탄 공정에서 침탄의 정도를 조절함으로써 촉매 내의 탄소 함량을 조절할 수 있으며, 그에 따라 이어지는 그래핀 생성 공정에서 형성되는 그래핀 층의 두께를 단일층으로 조절하는 것이 가능해진다. 예를 들어 상기 액상 탄소계 물질의 분해반응 과정에서, 분해가 용이한 물질을 사용할 경우 분해된 탄소의 함량이 많아지고, 그 결과 다량의 탄소가 상기 촉매 내에 침탄되는 것이 가능해진다. 또한 상기 열처리 온도 및 시간을 조절하여 침탄 공정을 제어하면, 촉매 내에 침탄되는 탄소의 함량을 조절하는 것이 가능하며, 그에 따라 그래핀 생성 정도를 조절하는 것이 가능해진다. 따라서 그래핀 층의 두께를 단일층으로 쉽게 제어하는 것이 가능해진다.
상술한 바와 같이 탄소 함유 폴리머 또는 액상 탄소계 물질을 그래파이트화 촉매 금속막과 접촉시킨 후, 열처리를 수행하여 상기 촉매 금속막 상에 그래핀을 형성하게 된다. 이와 같은 열처리 공정은 상술한 기상법과 동일한 방법으로 수행할 수 있다.
- 그래핀 형성 공정 (SiC 성장법)
그래핀은 별도의 탄소 공급원 없이 기판 상에서 직접 형성할 수 있다. 이와 같은 기판으로서는 예를 들어 SiC 웨이퍼를 사용할 수 있다. SiC 웨이퍼 상에서는 그래핀이 에피택셜 방식으로 성장하게 되며, SiC 웨이퍼의 실리콘 및 탄소 표면 모두에서 그래핀이 성장할 수 있다. SiC 웨이퍼의 탄소 표면보다는 실리콘 표면 상에서 더 우수한 균일성을 갖는 그래핀을 성장시킬 수 있다.
상기 기판의 예인 SiC 층은 두께가 약 1nm 내지 약 500㎛인 것을 사용할 수 있다. 상기 범위 내에서 그래핀 성장을 위한 충분한 탄소를 공급할 수 있게 된다. 이를 열처리하여 그래핀 구조체를 형성시킬 수 있다.
상기 기판으로서 탄소 함유 기판, 예를 들어 SiC 웨이퍼를 사용한 경우, 웨이퍼 자체가 탄소공급원이 되므로 별도의 탄소공급원이 요구되지 않는다. 이 경우 열처리에 의해 내부의 Si-C 결합이 약해져 탄소가 표면으로 해리되어 방출된 후, 이들이 서로 결합하여 그래핀을 형성하게 된다.
상기 그래핀을 형성하기 위한 열처리 조건으로서는 진공 또는 불활성 분위기하에서 약 1,000oC 내지 약 2,000oC의 온도에서 약 1분 내지 약 2시간 동안 수행할 수 있다.
상기 불활성 분위기는 아르곤이나 헬륨 같은 불활성 원소로 용기가 채워진 것을 의미하며 이때의 압력은 약 100torr 내지 약 700torr의 범위를 사용할 수 있다.
상기와 같은 다양한 방법으로 얻어지는 그래핀은 1cm2 이상의 면적을 가질 수 있으며, 흠결이 적은 그래핀을 얻을 수 있으므로, 상기 단일층 그래핀은 단위 면적 1000㎛2당 10개 이하의 주름을 가질 수 있다. 또한 이들 단일층 그래핀은 단위 면적 1mm2당 99% 이상의 범위로 존재할 수 있다.
이와 같이 제조된 그래핀 상에 금속원자층을 형성시켜 얻어지는 반도체화된 그래핀은 다양한 전기소자, 예를 들어 센서, 바이폴라 정션 트랜지스터, 전계 효과형 트랜지스터, 이종 접합 바이폴러 트랜지스터, 싱글 일렉트론 트랜지스터, 발광다이오드, 유기전계 발광다이오드 등을 예시할 수 있다.
이들 중 전계 효과형 트랜지스터의 예를 도 2에 도시한다. 도 2에서 기판(11) 상에 실리카 기판(12)이 존재하며, 그 위에 상기 반도체화된, 금속원자층 함유 그래핀(13)이 놓여진다. 좌우에는 소스전극(14) 및 드레인 전극(17)이 존재하며, 절연체층(16)을 사이에 두고 게이트 전극(15)이 존재하게 된다. 여기서 게이트 전극에 전압을 인가함으로써 소스-드레인 전극 사이에 흐르는 전류를 제어한다. 즉, 상기 반도체층이 채널 영역을 이루고 있고, 게이트 전극에 인가되는 전압으로 소스 전극과 드레인 전극의 사이에 흐르는 전류가 제어됨으로써 온/오프 동작한다.
여기서, 소스 전극과 드레인 전극의 간격은 상기 박막 트랜지스터를 이용하는 용도에 따라 결정되고, 예를 들어 O.1㎛ 내지 1㎜, 예를 들어 1㎛ 내지 100㎛, 또는 5㎛ 내지 100㎛이다.
일구현예에 따른 트랜지스터에 있어서의 절연체층의 재료로는, 전기 절연성을 갖고 박막으로서 형성할 수 있는 것이면 특별히 한정되지 않고, 금속 산화물(규소의 산화물을 포함한다), 금속 질화물(규소의 질화물을 포함한다), 고분자, 유기 저분자 등 실온에서의 전기 저항율이 1OΩ㎝ 이상인 재료를 이용할 수 있으며, 예를 들어 비유전율이 높은 무기 산화물 피막을 사용할 수 있다.
상기 무기 산화물로는 산화 규소, 산화 알루미늄, 산화 탄탈럼, 산화 타이타늄, 산화 주석, 산화 바나듐, 타이타늄산 바륨스트론튬, 지르코늄산 타이타늄산 바륨, 지르코늄산 타이타늄산 납, 타이타늄산 납 란타늄, 타이타늄산 스트론튬, 타이타늄산 바륨, 불화 바륨 마그네슘, 란타늄 산화물, 불소 산화물, 마그네슘 산화물, 비스무트 산화물, 타이타늄산 비스무트, 니오븀 산화물, 타이타늄산 스트론튬 비스무트, 탄탈럼산 스트론튬 비스무트, 오산화 탄탈럼, 탄탈럼산 니오븀산 비스무트, 트라이옥사이드이트륨 및 이들을 조합한 것을 들 수 있고, 산화 규소, 산화 알루미늄, 산화 탄탈럼, 산화 티타늄을 예로 들 수 있다.
또한, 질화 규소(Si3N4, SixNy (x, y〉0)), 질화 알루미늄 등의 무기 질화물도 적합하게 이용할 수 있다.
또한, 절연체층은 알콕시드 금속을 포함하는 전구 물질로 형성될 수도 있고, 이 전구 물질의 용액을, 예컨대 기판에 피복하고, 이것을 열처리를 포함하는 화학 용액 처리를 함으로써 절연체층이 형성된다.
상기 알콕시드 금속에 있어서의 금속으로는, 예컨대 전이 금속, 란타노이드, 또는 주족 원소로부터 선택되고, 구체적으로는, 바륨(Ba), 스트론튬(Sr), 타이타늄(Ti), 비스무트(Bi), 탄탈럼(Ta), 지르코늄(Zr), 철(Fe),니켈(Ni), 망간(Mn), 납(Pb), 란타늄(La), 리튬(Li), 나트륨(Na), 칼륨(K), 루비듐(Rb), 세슘(Cs), 프랑슘(Fr), 베릴륨(Be), 마그네슘(Mg), 칼슘(Ca), 니오븀(Nb), 탈륨(Tl), 수은(Hg), 구리(Cu), 코발트(Co), 로듐(Rh), 스칸듐(Sc) 및 이트륨(Y) 등을 들 수 있다. 또한, 상기 알콕시드 금속에 있어서의 알콕시드로는, 예컨대 메탄올, 에탄올, 프로판올, 아이소프로판올, 뷰탄올, 아이소뷰탄올 등을 포함하는 알코올류, 메톡시 에탄올, 에톡시 에탄올, 프로폭시 에탄올, 뷰톡시 에탄올, 펜톡시 에탄올, 헵톡시 에탄올, 메톡시 프로판올, 에톡시 프로판올, 프로폭시 프로판올, 뷰톡시 프로판올, 펜톡시 프로판올, 헵톡시 프로판올을 포함하는 알콕시 알코올류 등으로부터 유도되는 것을 들 수 있다.
일구현예에 따른 절연체층을 상기한 바와 같은 재료로 구성하면, 절연체층 중에 분극이 발생하기 용이해지고, 트랜지스터 동작의 임계 전압을 저감할 수 있다. 또한, 상기 재료 중에서도 Si3N4, SixNy, SiONx (x, y〉0) 등의 질화 규소로 절연체층을 형성하면, 공핍층이 한층 더 발생하기 용이해지며, 트랜지스터 동작의 임계 전압을 더욱 저감시킬 수 있다.
유기 화합물을 이용한 절연체층으로는 폴리이미드, 폴리아마이드, 폴리에스터, 폴리아크릴레이트, 광라디칼 중합계, 광 양이온 중합계의 광경화성 수지, 아크릴로나이트릴 성분을 함유하는 공중합체, 폴리바이닐페놀, 폴리바이닐알코올, 노볼락 수지 및 사이아노에틸풀루란 등을 이용할 수도 있다.
그 밖에, 왁스, 폴리에틸렌, 폴리클로로피렌, 폴리에틸렌테레프탈레이트, 폴리옥시메틸렌, 폴리바이닐클로라이드, 폴리불화바이닐리덴, 폴리메틸메타크릴레이트, 폴리설폰, 폴리카보네이트, 폴리이미드사이아노에틸 풀룰란, 폴리(바이닐페놀)(PVP), 폴리(메틸메타크릴레이트)(PMMA), 폴리카보네이트(PC), 폴리스타이렌(PS), 폴리올레핀, 폴리아크릴아마이드, 폴리(아크릴산), 노볼락 수지, 레졸 수지, 폴리이미드, 폴리자일렌, 에폭시 수지에 더하여, 풀룰란 등의 높은 유전율을 갖는 고분자 재료를 사용하는 것도 가능하다.
상기 절연체층은 상술한 바와 같은 무기 또는 유기 화합물 재료를 복수 이용한 혼합층일 수도 있고, 이들 적층 구조체일 수도 있다. 이 경우, 필요에 따라 유전율이 높은 재료와 발수성을 갖는 재료를 혼합하거나 적층함으로써 디바이스의 성능을 제어할 수도 있다.
상기 절연체층의 형성 방법으로는 진공 증착법, 분자선 에피택셜 성장법, 이온 클러스터빔법, 저에너지 이온빔법, 이온 플레이팅법, CVD법, 스퍼터링법, 대기압 플라즈마법 등의 건식 프로세스나, 스프레이 코팅법, 스핀 코팅법, 블레이드 코팅법, 딥 코팅법, 캐스팅법, 롤 코팅법, 바 코팅법, 다이 코팅법 등의 도포에 의한 방법, 인쇄나 잉크 젯 등의 패터닝에 의한 방법 등의 습식 프로세스를 들 수 있고, 재료에 따라 사용할 수 있다. 습식 프로세스는 무기 산화물의 미립자를 임의의 유기 용제 또는 물에 필요에 따라 계면 활성제 등의 분산 보조제를 이용하여 분산한 액을 도포, 건조하는 방법이나 산화물 전구체, 예컨대 알콕시드체의 용액을 도포, 건조하는 이른바 졸겔법이 사용된다.
상기 반도체층인 그래핀층과 절연체층 사이에는 금속 원자층 및/또는 금속 이온층이 더 형성될 수 있다. 상기 금속 원자층은 Zn, Al, Ga, Zr, Ni, Co, Pd 또는 이들의 혼합물 등을 포함할 수 있다. 상기 금속 이온층은 Zn, Al, Ga, Zr, Ni, Co, Pd 또는 이들의 혼합물의 이온을 포함할 수 있으며, 이들은 금속염의 형태로 존재할 수 있다. 상기 금속염의 대응 음이온으로서는 할로겐, (COOH)-1, NO3 2-, SO4 2-, CO3 -2 등을 예시할 수 있다. 이들 금속원자층 또는 금속이온층은 금속 원자 또는 금속 이온이 1층 내지 3층으로 적층된 두께를 가질 수 있다.
상기 금속 원자층 또는 금속 이온층은 당업계에 알려져 있는 방법을 통해 형성할 수 있으며, 예를 들어 진공 증착법, 분자선 에피택셜 성장법, 이온 클러스터빔법, 저에너지 이온빔법, 이온 플레이팅법, CVD법, 스퍼터링법, 대기압 플라즈마법 등의 건식 프로세스나, 스프레이 코팅법, 스핀 코팅법, 블레이드 코팅법, 딥 코팅법, 캐스팅법, 롤 코팅법, 바 코팅법, 다이 코팅법 등의 도포에 의한 방법, 인쇄나 잉크 젯 등의 패터닝에 의한 방법 등의 습식 프로세스를 들 수 있고, 재료에 따라 사용할 수 있으며, 특별히 한정되는 것은 아니다.
상기 트랜지스터, 예를 들어 박막 트랜지스터에 있어서의 기판은, 박막 트랜지스터의 구조를 지지하는 역할을 하는 것이고, 재료로는 유리 외에 금속 산화물이나 질화물 등의 무기 화합물, 플라스틱 필름(PET, PES, PC)이나 금속 기판 또는 이들 복합체나 적층체 등도 이용하는 것이 가능하다. 또한, 기판 이외의 구성 요소에 의해 박막 트랜지스터의 구조를 충분히 지지할 수 있는 경우에는, 기판을 사용하지 않는 것도 가능하다. 또한, 기판의 재료로는 실리콘(Si) 웨이퍼가 사용되는 것이 많다. 이 경우, Si 자체를 게이트 전극겸 기판으로 이용할 수 있다. 또한, Si의 표면을 산화하고, SiO2를 형성하여 절연층으로서 활용하는 것도 가능하다. 이 경우, 기판겸 게이트 전극의 Si 기판에 리드선 접속용 전극으로서, Au 등의 금속층을 성막하는 것도 있다.
일구현예에 따른 트랜지스터에 있어서의 게이트 전극, 소스 전극 및 드레인 전극의 재료로는 도전성 재료이면 특별히 한정되지 않고, 백금, 금, 은, 니켈, 크롬, 구리, 철, 주석, 안티몬납, 탄탈륨, 인듐, 팔라듐, 텔루륨, 레늄, 이리듐, 알루미늄, 루테늄, 게르마늄, 몰리브데늄, 텅스텐, 산화주석ㅇ안티몬, 산화인듐ㅇ주석(ITO), 불소 도핑 산화 아연, 아연, 탄소, 흑연, 유리상 탄소, 은 페이스트 및 카본 페이스트, 리튬, 베릴륨, 나트륨, 마그네슘, 칼륨, 칼슘, 스칸듐, 타이타늄, 망간, 지르코늄, 갈륨, 니오븀, 나트륨, 나트륨-칼륨 합금, 마그네슘, 리튬, 알루미늄, 마그네슘/구리 혼합물, 마그네슘/은 혼합물, 마그네슘/알루미늄 혼합물, 마그네슘/인듐 혼합물, 알루미늄/산화 알루미늄 혼합물, 리튬/알루미늄 혼합물 등이 이용되고, 이들을 이용하는 경우는 스퍼터법 또는 진공 증착법에 의해 성막하여 전극을 형성할 수 있다.
일구현예에 따른 트랜지스터에 있어서, 소스 전극, 드레인 전극으로는, 상기 도전성 재료를 포함하는 용액, 페이스트, 잉크, 분산액 등의 유동성 전극 재료를 이용하여 형성한 것도 이용 가능하다. 금속 미립자를 함유하는 분산물로는, 예컨대 공지된 도전성 페이스트 등을 이용할 수도 있지만, 통상 입자 직경이 0.5㎚ 내지 50㎚, 1㎚ 내지 10㎚의 금속 미립자를 함유하는 분산물이면 바람직하다. 이 금속 미립자의 재료로는, 예컨대 백금, 금, 은, 니켈, 크로뮴, 구리, 철, 주석, 안티몬납, 탄탈럼, 인듐, 팔라듐, 텔루륨, 레늄, 이리듐, 알루미늄, 루테늄, 저마늄, 몰리브데넘, 텅스텐, 아연 등을 이용할 수 있다.
이들의 금속 미립자를, 주로 유기 재료로 이루어지는 분산 안정제를 이용하여, 물이나 임의의 유기 용제인 분산매 중에 분산한 분산물을 이용하여 전극을 형성하는 것이 바람직하다. 이러한 금속 미립자의 분산물의 제조 방법으로는, 가스중 증발법, 스퍼터링법, 금속 증기 합성법 등의 물리적 생성법이나, 콜로이드법, 공침법 등의 액상으로 금속 이온을 환원하여 금속 미립자를 생성하는 화학적 생성법을 예로 들 수 있다.
이들 금속 미립자 분산물을 이용하여 상기 전극을 성형하고, 용매를 건조시킨 후, 필요에 따라 100℃ 내지 300℃, 예를 들어 150℃ 내지 200℃의 범위에서 형상대로 가열함으로써 금속 미립자를 열융착시켜 목적하는 형상을 갖는 전극 패턴을 형성할 수 있다.
또한, 게이트 전극, 소스 전극 및 드레인 전극의 재료로서, 도핑 등으로 도전율을 향상시킨 공지된 도전성 폴리머를 이용할 수 있고, 예컨대 도전성 폴리아닐린, 도전성 폴리피롤, 도전성 폴리싸이오펜(폴리에틸렌다이옥시싸이오펜과 폴리스타이렌설폰산의 착체 등), 폴리에틸렌다이옥시싸이오펜(PEDOT)과 폴리스타이렌설폰산의 착체 등도 적합하게 사용된다. 이들 재료에 의해 소스 전극과 드레인 전극의 반도체층과의 접촉 저항을 저감할 수 있다.
소스 전극 및 드레인 전극을 형성하는 재료는, 상술한 예 중에서도 반도체층과의 접촉면에서 전기 저항이 적은 것이 바람직하다. 이 때의 전기 저항은, 즉 전류 제어 디바이스를 제작했을 때 전계 효과 이동도와 대응하고 있으며, 큰 이동도를 얻기 위해서는 가능한 한 저항이 작은 것이 필요하다.
상기 전극의 형성 방법으로는, 예컨대 증착, 전자빔 증착, 스퍼터링, 대기압 플라즈마법, 이온 플레이팅, 화학 기상 증착, 전착, 무전해 도금, 스핀 코팅, 인쇄 또는 잉크 젯 등의 수단에 의해 형성된다. 또한, 필요에 따라 패터닝하는 방법으로는, 상기 방법을 이용하여 형성한 도전성 박막을, 공지된 포토리소그래프법이나 리프트 오프법을 이용하여 전극 형성하는 방법, 알루미늄이나 구리 등의 금속박상에 열 전사, 잉크 젯 등에 의해, 레지스트를 형성하여 에칭하는 방법이 있다. 또한, 도전성 폴리머의 용액 또는 분산액, 금속 미립자를 함유하는 분산액 등을 직접 잉크젯법에 의해 패터닝할 수도 있고, 도공막으로부터 리소그래피나 레이저 연마 등에 의해 형성할 수도 있다. 또한 도전성 폴리머나 금속 미립자를 함유하는 도전성 잉크, 도전성 페이스트 등을 볼록판, 오목판, 평판, 스크린 인쇄 등의 인쇄법으로 패터닝하는 방법도 이용할 수 있다.
이렇게 하여 형성된 전극의 막 두께는 전류가 통하면 특별히 제한은 없지만, 예를 들어 0.2㎚ 내지 10㎛ 또는 4㎚ 내지 300㎚의 범위이다. 이 범위내이면, 막 두께가 얇음에 따라 저항이 높아져 전압 강하를 발생시키지 않는다.
또한, 일구현예에 따른 트랜지스터에서는, 예컨대 주입 효율을 향상시킬 목적으로, 반도체층과 소스 전극 및 드레인 전극의 사이에 버퍼층을 설치할 수도 있다. 버퍼층으로는 n형 박막트랜지스터에 대해서는 유기 EL 소자의 음극에 사용되는 LiF, Li2O, CsF, NaCO3, KCl, MgF2, CaCO3 등의 알칼리 금속, 알칼리 토류 금속 이온 결합을 갖는 화합물을 사용할 수 있다. 또한, Alq(트리스(8-퀴놀리놀)알루미늄 착체) 등 유기 EL 소자로 전자 주입층, 전자 수송층으로서 사용되는 화합물을 삽입할 수도 있다.
버퍼층은 캐리어의 주입 장벽을 내림으로써 임계값 전압을 내리고, 트랜지스터를 저전압 구동시키는 효과가 있다. 상기 버퍼층은 전극과 반도체층의 사이에 얇게 존재하면 무방하고, 그 두께는 0.1㎚ 내지 30㎚, 또는 0.3㎚ 내지 20㎚이다.
또한 상기 박막 트랜지스터에 있어서, 발광소자를 상기 박막 트랜지스터와 전기적으로 연결한 후, 소스-드레인 사이를 흐르는 전류를 이용하여 상기 발광소자를 제어할 수 있으며, 이를 이용하여 평판표시장치를 구성할 수 있다.
이하에서 실시예를 들어 본 발명을 보다 상세하게 설명하나 본 발명이 이에 한정되는 것은 아니다.
제조예 1: 그래핀 단일층의 제조
2인치 6H-SiC 웨이퍼(0001)를 680torr의 아르곤 가스로 채워진 챔버 내에 위치시키고 1,200℃의 온도에서 10분간 가열하였다. 이어서 서서히 냉각하여 1mm X 10mm의 크기를 갖는 에피택셜 그래핀 단일층 구조체를 형성하였다.
450nm 내지 700nm의 파장을 갖는 광의 흡광을 이용하여 상기에서 얻어진 그래핀 단일층의 광투과도를 측정하였다. 도 3은 상기 그래핀 단일층의 광투과도를 도시한다. 도 3에서 알 수 있는 바와 같이, 상기 그래핀 단일층의 광투과도는 약 97% 내지 약 98%의 값을 나타내었다. 이를 통해 상기 공정에서 그래핀 단일층이 형성되었음을 알 수 있다.
실시예 1
상기 제조예 1에서 얻어진, 1mm X 10mm의 크기를 갖는 그래핀 단일층을 SiC 기재 상에 위치시켰다.
이어서 진공하에 5.7A의 전류를 인가하여 시판중인 나트륨 소스인 게터 소스(Na/nf/1.5/12/ft 10+10, Saes getters에서 구입)를 가열함으로써 나트륨(Na)을 상기 단일층 그래핀 상에 형성하였다.
도 4는 상기 제조예 1에서 얻어진 그래핀 단일층의 STM 사진을 나타내며 도 5는 상기 도 4의 부분 확대도를 나타낸다. 도 4 및 도 5는 초기에 그래핀 상에 나트륨이 에피텍셜 구조(epitaxial structure)로 형성된 것을 나타낸다.
도 6 내지 도 9는 나트륨이 상기 단일층 그래핀에 점진적으로 형성되는 과정을 나타낸다. 도 6 내지 도 9에서 밝은 영역이 나트륨 원자층을 나타내며, 어두운 영역은 그래핀을 나타낸다. 도 6은 나트륨이 성장하여 나노 클러스터를 형성하며, 도 7은 나트륨이 나노 로드를 형성하고, 도 8 및 도 9는 최종적으로 2차원 박막 구조의 나트륨이 형성되었음을 나타낸다.
도 10은 상기 도 4에 따른 순수 그래핀의 밴드갭을 나타내며, 도 11은 상기 2차원 박막구조의 나트륨이 형성된 단일층 그래핀 밴드갭을 측정한 결과를 나타낸다. 도 11에 도시된 바와 같이 약 0.6eV의 밴드갭이 형성되었음을 알 수 있다. 상기 밴드갭은 광학식 투과도 측정법을 사용하여 측정하였다.

Claims (18)

  1. 그래핀;
    상기 그래핀 상에 형성된 금속 원자층; 및
    상기 금속 원자층 상에 형성된 제1 산화물층;을 구비하며,
    상기 금속 원자층은 상기 그래핀과 전하이동 가능한 알칼리 금속을 포함하고,
    상기 제1 산화물층은 상기 금속 원자층의 표면이 산화된 것이고,
    상기 제1 산화물층의 두께가 1nm 내지 100nm인 그래핀 반도체.
  2. 삭제
  3. 제1항에 있어서,
    상기 금속 원자층이 나트륨(Na)을 포함하는 것인 그래핀 반도체.
  4. 제1항에 있어서,
    상기 금속원자층이 상기 그래핀의 적어도 일표면 상에 존재하는 것인 그래핀 반도체.
  5. 제1항에 있어서,
    상기 금속 원자층이 2차원 형태의 박막 구조를 갖는 것인 그래핀 반도체.
  6. 제1항에 있어서,
    상기 금속 원자층이 박막, 나노로드, 나노클러스터 또는 이들의 조합 형태를 갖는 것인 그래핀 반도체.
  7. 제1항에 있어서,
    상기 금속 원자층의 존재 영역이 상기 그래핀의 전체 표면적의 30 내지 99%인 것인 그래핀 반도체.
  8. 제1항에 있어서,
    상기 그래핀 반도체가 0.4eV 이상의 밴드갭을 갖는 것인 그래핀 반도체.
  9. 제1항에 있어서,
    상기 그래핀 반도체가 0.45eV 내지 0.8eV의 밴드갭을 갖는 것인 그래핀 반도체.
  10. 삭제
  11. 삭제
  12. 제1항에 있어서,
    상기 제1 산화물층 상에 패시베이션층인 제2 산화물층을 더 구비하는 것인 그래핀 반도체.
  13. 제1항, 제3항 내지 제9항, 및 제12항 중 어느 한 항의 그래핀 반도체를 구비하는 전기소자.
  14. 제13항에 있어서,
    상기 전기소자가 센서, 바이폴라 정션 트랜지스터, 전계 효과형 트랜지스터, 이종 접합 바이폴러 트랜지스터, 싱글 일렉트론 트랜지스터, 발광다이오드, 또는 유기전계 발광다이오드인 것인 전기소자.
  15. 삭제
  16. 기판 상에 그래핀을 준비하는 단계;
    상기 그래핀 상에 상기 그래핀과 전하이동 가능한 알칼리 금속을 포함하는 금속 원자층을 형성하는 단계; 및
    상기 금속 원자층의 표면을 산화시켜 제1 산화물층을 형성하는 단계;를 포함하고,
    상기 제1 산화물층의 두께가 1nm 내지 100nm인 그래핀의 밴드갭 제어방법.
  17. 제16항에 있어서,
    상기 제1 산화물 상에 제2 산화물층을 형성하는 공정을 더 포함하는 것인 그래핀의 밴드갭 제어방법.
  18. 제16항에 있어서,
    상기 그래핀 상에 상기 금속 원자층을 형성하는 단계; 및
    상기 금속 원자층의 표면을 산화시켜 제1 산화물층을 형성하는 단계;를 반복하여 수행하는 것인 그래핀의 밴드갭 제어방법.
KR1020120084578A 2012-08-01 2012-08-01 그래핀 반도체 및 이를 포함하는 전기소자 KR101919423B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020120084578A KR101919423B1 (ko) 2012-08-01 2012-08-01 그래핀 반도체 및 이를 포함하는 전기소자
US13/905,527 US9269764B2 (en) 2012-08-01 2013-05-30 Graphene semiconductor and electrical device including the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120084578A KR101919423B1 (ko) 2012-08-01 2012-08-01 그래핀 반도체 및 이를 포함하는 전기소자

Publications (2)

Publication Number Publication Date
KR20140017399A KR20140017399A (ko) 2014-02-11
KR101919423B1 true KR101919423B1 (ko) 2018-11-19

Family

ID=50024572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120084578A KR101919423B1 (ko) 2012-08-01 2012-08-01 그래핀 반도체 및 이를 포함하는 전기소자

Country Status (2)

Country Link
US (1) US9269764B2 (ko)
KR (1) KR101919423B1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101878739B1 (ko) * 2011-10-24 2018-07-17 삼성전자주식회사 그래핀 전사부재, 그래핀 전사방법 및 이를 이용한 그래핀 소자 제조방법
US9142562B2 (en) * 2013-02-21 2015-09-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
EP2856917A1 (de) * 2013-10-01 2015-04-08 Luna Technology Systems LTS GmbH Brühmodul
US9905797B2 (en) * 2013-10-25 2018-02-27 Boe Technology Group Co., Ltd. OLED display device and fabrication method thereof
US9337275B2 (en) * 2014-01-28 2016-05-10 Infineon Technologies Ag Electrical contact for graphene part
KR102250190B1 (ko) 2014-10-31 2021-05-10 삼성전자주식회사 나노버블을 가진 그래핀 구조체 및 그 제조방법
CN104766802B (zh) * 2015-03-26 2019-05-03 深圳市华星光电技术有限公司 液晶显示面板、阵列基板及其薄膜晶体管的制造方法
KR102402547B1 (ko) * 2015-08-18 2022-05-26 삼성전자주식회사 그래핀 아일랜드들을 포함하는 채널층을 구비한 그래핀 전자소자 및 그 제조방법
EP3359639A4 (en) * 2015-10-07 2018-11-14 The Regents of the University of California Graphene-based multi-modal sensors
CN105867018B (zh) * 2016-03-28 2019-08-02 深圳市华星光电技术有限公司 石墨烯液晶显示装置、石墨烯发光元件及其制作方法
US11222959B1 (en) * 2016-05-20 2022-01-11 Hrl Laboratories, Llc Metal oxide semiconductor field effect transistor and method of manufacturing same
US9991122B2 (en) 2016-08-31 2018-06-05 Micron Technology, Inc. Methods of forming semiconductor device structures including two-dimensional material structures
KR102062124B1 (ko) * 2017-11-28 2020-01-03 성균관대학교산학협력단 프리 스탠딩 그래핀의 제조 방법
US10153159B1 (en) 2017-11-30 2018-12-11 International Business Machines Corporation Source and drain formation using self-aligned processes
KR102146525B1 (ko) * 2018-08-27 2020-08-20 울산과학기술원 환원된 그래핀 옥사이드 제조 방법
KR102247841B1 (ko) * 2018-12-19 2021-05-11 베스트그래핀(주) 그래핀층을 포함하는 방열 및 차폐용 복합시트
CN112242441A (zh) * 2019-07-16 2021-01-19 联华电子股份有限公司 高电子迁移率晶体管
KR102516209B1 (ko) * 2022-05-25 2023-03-30 동국대학교 산학협력단 초미세 열-광정보 검출용 그래핀 기반 센서, 이의 제조방법, 및 그래핀의 밴드갭 제어방법
CN116247011B (zh) * 2023-05-10 2023-10-13 长鑫存储技术有限公司 半导体结构及其制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110095268A1 (en) * 2009-10-26 2011-04-28 Samsung Electronics Co., Ltd. Transistor and flat panel display including thin film transistor
US20110309336A1 (en) * 2010-06-18 2011-12-22 Samsung Electronics Co., Ltd. Semiconducting graphene composition, and electrical device including the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010287811A (ja) 2009-06-15 2010-12-24 Nec Corp 半導体素子及びその製造装置
KR101732177B1 (ko) 2009-10-26 2017-05-11 삼성전자주식회사 박막 트랜지스터 및 이를 구비한 평판표시장치
US8344358B2 (en) * 2010-09-07 2013-01-01 International Business Machines Corporation Graphene transistor with a self-aligned gate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110095268A1 (en) * 2009-10-26 2011-04-28 Samsung Electronics Co., Ltd. Transistor and flat panel display including thin film transistor
US20110309336A1 (en) * 2010-06-18 2011-12-22 Samsung Electronics Co., Ltd. Semiconducting graphene composition, and electrical device including the same

Also Published As

Publication number Publication date
US20140034899A1 (en) 2014-02-06
US9269764B2 (en) 2016-02-23
KR20140017399A (ko) 2014-02-11

Similar Documents

Publication Publication Date Title
KR101919423B1 (ko) 그래핀 반도체 및 이를 포함하는 전기소자
KR101878750B1 (ko) 알칼리 금속 함유 단일층 그라펜 및 이를 포함하는 전기소자
KR101920721B1 (ko) 그라펜 나노리본의 제조방법 및 상기 제조방법에 의해 얻어진 그라펜 나노리본
KR101878734B1 (ko) 그래핀 층상 구조체, 그의 제조방법 및 이를 채용한 투명전극과 트랜지스터
KR101993382B1 (ko) 기판상의 그래핀 및 상기 기판상 그래핀의 제조방법
KR102059129B1 (ko) 그래핀의 제조 방법 및 이를 포함하는 그래핀 적용 소자
US20120070612A1 (en) Graphene-polymer layered composite and process for preparing the same
KR20100066457A (ko) 유기 박막 트랜지스터 및 유기 박막 발광 트랜지스터
US9929237B2 (en) Method for manufacturing graphine film electronic device
JP6187185B2 (ja) 電子装置及びその製造方法
KR101878735B1 (ko) 그래핀의 제조방법
JP2017519351A (ja) 極薄有機結晶層を表面にエピタキシャル成長させる方法、及びその応用
Taniguchi et al. Chemically exfoliated inorganic nanosheets for nanoelectronics
JP6923288B2 (ja) 共鳴トンネルダイオードの製造方法
KR101732943B1 (ko) 이차원 전이금속 디칼코겐 화합물을 발광층으로 하는 발광소자와 그 제조방법
JP4736318B2 (ja) 積層体の製造方法及び有機電界効果トランジスタの製造方法
KR20110045252A (ko) 박막 트랜지스터 및 이를 구비한 평판표시장치
JP2009081265A (ja) 有機薄膜トランジスタ
KR102516209B1 (ko) 초미세 열-광정보 검출용 그래핀 기반 센서, 이의 제조방법, 및 그래핀의 밴드갭 제어방법
KR101830781B1 (ko) 플루오르화 그래핀의 제조방법
JP2013232633A (ja) 有機半導体溶液、当該有機半導体溶液を用いた印刷用有機半導体インク
KR20140104547A (ko) 스마트 글라스
TW201803173A (zh) 有機元件用電極及有機元件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant