KR101795875B1 - 반도체 구조물 및 이의 제조 방법 - Google Patents

반도체 구조물 및 이의 제조 방법 Download PDF

Info

Publication number
KR101795875B1
KR101795875B1 KR1020150179147A KR20150179147A KR101795875B1 KR 101795875 B1 KR101795875 B1 KR 101795875B1 KR 1020150179147 A KR1020150179147 A KR 1020150179147A KR 20150179147 A KR20150179147 A KR 20150179147A KR 101795875 B1 KR101795875 B1 KR 101795875B1
Authority
KR
South Korea
Prior art keywords
semiconductor fin
dielectric layer
semiconductor
forming
dopant
Prior art date
Application number
KR1020150179147A
Other languages
English (en)
Other versions
KR20160125870A (ko
Inventor
천쉬엉 차이
궈펭 유
케이웨이 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160125870A publication Critical patent/KR20160125870A/ko
Application granted granted Critical
Publication of KR101795875B1 publication Critical patent/KR101795875B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • H01L29/66598Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Abstract

반도체 구조물은, 기판, 제1 반도체 핀, 제2 반도체 핀, 및 제1 저농도 도핑된 드레인(LDD) 영역을 포함한다. 제1 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀은 상부 표면 및 측벽을 갖는다. 제2 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀과 제2 반도체 핀은 나노스케일 간격으로 서로 떨어져 있다. 제1 저농도 도핑된 드레인(LDD) 영역은 적어도 제1 반도체 핀의 상부 표면 및 측벽에 배치된다.

Description

반도체 구조물 및 이의 제조 방법{SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF}
우선권 주장 및 상호참조
본 출원은 2015년 4월 22일 출원된 미국 가출원 번호 제62/151,286호에 대한 우선권을 주장하며, 이는 참조에 의해 여기에 포함된다.
본 개시는 일반적으로 반도체 디바이스에 관한 것으로, 보다 상세하게는 핀 전계 효과 트랜지스터(FinFET; fin field effect transistor)에 관한 것이다.
더블 게이트 금속 산화물 반도체 전계 효과 트랜지스터(Double-gate MOSFET; Double-gate metal-oxide-semiconductor field-effect transistor)는, 2개의 게이트를 단일 디바이스로 통합한 MOSFET이다. 이들 디바이스는 또한, 기판으로부터 연장한 얇은 "핀"을 포함하는 그의 구조로 인해 핀 전계 효과 트랜지스터(FinFET; fin field effect transistor)로도 알려져 있다. 더블 게이트는 양측으로부터 채널의 게이트 제어를 가능하게 하도록 채널의 양측에 게이트가 있다는 점에서 이루어진다. 또한, FinFET은 단채널 효과를 감소시키고 더 높은 전류 흐름을 제공할 수 있다. 다른 FinFET 아키텍처는 3개 이상의 유효 게이트도 포함할 수 있다.
반도체 구조물은, 기판, 제1 반도체 핀, 제2 반도체 핀, 및 제1 저농도 도핑된 드레인(LDD) 영역을 포함한다. 제1 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀은 상부 표면 및 측벽을 갖는다. 제2 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀과 제2 반도체 핀은 나노스케일 간격으로 서로 떨어져 있다. 제1 저농도 도핑된 드레인(LDD) 영역은 적어도 제1 반도체 핀의 상부 표면 및 측벽에 배치된다.
본 개시의 양상은 첨부 도면과 함께 볼 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업계에서의 표준 실시에 따라, 다양한 특징부들이 축척대로 도시된 것은 아님을 유의하여야 한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의로 증가되거나 감소될 수 있다.
도 1 내지 도 17은 일부 실시예에 따라 핀 전계 효과 트랜지스터(FinFET; fin field effect transistor)를 제조하는 데 있어서 중간 단계들의 단면도이다.
도 18은 플라즈마 이온 보조 증착(PIAD; plasma ion assisted deposition)을 수행하기 위한 장치를 예시한다.
도 19는 플라즈마 이온 보조 증착(PIAD) 동안 인가되는 개략적인 무선 주파수(RF; radio frequency) 및 직류(DC; direct current) 바이어스 전압을 도시한다.
다음의 개시는 제공되는 주제의 상이한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공하는 것이다. 컴포넌트 및 구성의 구체적 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이고 한정하고자 하는 것이 아니다. 예를 들어, 이어지는 다음의 기재에서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적인 것이며, 그 자체가 설명되는 다양한 실시예 및/또는 구성 간의 관계를 나타내는 것은 아니다.
또한, "밑에", "아래에", "하부", "위에", "상부" 등과 같은 공간적으로 상대적인 용어는, 도면에 예시된 바와 같이 하나의 구성요소 또는 특징부의, 또다른 구성요소(들) 또는 특징부(들)에 대한 관계를 설명하고자 기재를 용이하게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는, 도면에 도시된 배향에 더하여, 사용시 또는 동작시 디바이스의 상이한 배향을 포함하는 것으로 의도된다. 장치는 달리 배향될 수 있고(90도 회전 또는 다른 배향), 여기에서 사용되는 공간적으로 상대적인 기술자는 마찬가지로 그에 따라 해석될 수 있다.
도 1을 참조한다. 집적 회로 구조물이 형성된다. 예시된 집적 회로 구조물은 기판(110)을 포함하는 웨이퍼(100)의 일부를 포함한다. 기판(110)은 다이아몬드, 실리콘(Si), 게르마늄(Ge), 실리콘 카바이드(SiC), 실리콘-게르마늄(SiGe), 또는 이들의 조합과 같은 반도체 재료로 제조될 수 있다. 기판(110)은 p 타입 또는 n 타입 불순물로 도핑될 수 있다. 쉘로우 트렌치 아이솔레이션(STI; shallow trench isolation) 영역(120)과 같은 격리 영역이 기판(110)에 또는 기판(110) 위에 형성될 수 있다. 반도체 핀(130 및 140)이 STI 영역(120)의 상부 표면 위에 형성된다. 기판(110)은 제1 디바이스 영역(I)의 부분 및 제2 디바이스 영역(II)의 부분을 포함한다. 반도체 핀(130)은 제1 디바이스 영역(I)에 있고 반도체 핀(140)은 제2 디바이스 영역(II)에 있다. 일부 실시예에서, 제1 디바이스 영역(I)은 N 타입 핀 전계 효과 트랜지스터(FinFET)를 형성하는 데 사용되고, 제2 디바이스 영역(II)은 P 타입 FinFET를 형성하는 데 사용된다.
일부 실시예에서, 반도체 핀(130 및 140)은, 먼저 쉘로우 트렌치 아이솔레이션(STI) 영역(120)을 형성하고, 그 다음 기판(110)의 원래 상부 표면보다 낮은 높이로 STI 영역(120)의 상부 표면을 리세싱(recessing)함으로써 형성된다. 따라서 STI 영역들(120) 사이의 기판(110)의 남은 부분은 반도체 핀(130 및 140)이 된다. 반도체 핀(130 및 140)이 기판(110)의 재료와 상이한 재료로 제조되는 실시예에서, 반도체 핀(130 및 140)은, 리세스를 형성하도록 이웃하는 STI 영역들(120) 사이의 기판(110)의 상부 부분을 리세싱하고, 리세스에 기판(110)의 반도체 재료와 상이한 반도체 재료를 재성장함으로써 형성될 수 있다. 그 다음, STI 영역(120)의 하부 부분은 제거되지 않으면서 STI 영역(120)의 상부 부분이 제거될 수 있으며, 그리하여 이웃하는 STI 영역들(120) 사이의 재성장된 반도체 재료의 상부 부분은 반도체 핀(130 및 140)이 된다. 반도체 핀(130 및 140)은 주입을 통해 또는 반도체 핀(130 및 140)의 성장과 동시에 수행된 인시추(in-situ) 도핑을 통해 도입된 채널 도핑을 가질 수 있다.
도 2를 참조한다. 게이트 유전체 층(150) 및 게이트 전극 층(160)이 반도체 핀(130 및 140) 위에 형성된다. 일부 실시예에서, 게이트 유전체 층(150)은 예를 들어 실리콘 산화물(SiO2)로 제조되고, ISSU(in-situ steam generated) 산화에 의해 형성된다. 게이트 유전체 층(150)은 약 0.5 nm 내지 약 10 nm 범위의 두께를 갖는다. 일부 다른 실시예에서, 게이트 유전체 층(150)은 예를 들어 하이 k(high-k) 유전체 재료로 제조된다. 하이 k 유전체 재료는 실리콘 산화물(SiO2)의 유전 상수보다 큰 유전 상수, 구체적으로 약 4, 또는 약 7보다도 더 큰 유전 상수를 갖는다. 하이 k 유전체 재료는, Al2O3, HfAlO, HfAlON, AlZrO와 같은 알루미늄 함유 유전체, HfO2, HfSiOx, HfAlOx, HfZrSiOx, HfSiON과 같은 Hf 함유 재료, 및/또는 LaAlO3 및 ZrO2와 같은 다른 재료를 포함할 수 있다. 게이트 전극 층(160)은 게이트 유전체 층(150) 상에 형성된다. 게이트 전극 층(160)은, 도핑된 폴리실리콘, 금속, 금속 질화물, 또는 이들의 조합과 같은 전도성 재료로 제조될 수 있다.
도 3을 참조한다. 게이트 전극 층(160)은 이어서 게이트 스택을 형성하도록 패터닝된다. 일부 실시예에서, 반도체 핀(130 및 140)은 게이트 유전체 층(150)으로 캡핑될 수 있다. 일부 다른 실시예에서, 반도체 핀(130 및 140)이 게이트 유전체 층(150) 및 게이트 전극 층(160)에 의해 덮이지 않은 부분을 갖도록, 게이트 유전체 층(150)도 또한 패터닝된다.
도 4를 참조한다. 반도체 핀(130 및 140) 위에 시일 스페이서(seal spacer)(170)가 형성된다. 시일 스페이서(170)는 실리콘 질화물(Si3N4), 실리콘 카본 산질화물(SiCON), 실리콘 카본 질화물(SiCN), 또는 이들의 조합과 같은 유전체 재료로 제조된다. 시일 스페이서(170)는 약 1 nm 내지 약 10 nm, 약 1nm 내지 약 7 nm, 또는 약 5 nm 내지 약 10 nm 범위의 두께를 갖는다. 도 4 내지 도 17은 도 3의 라인 A-A를 따라 취한 단면도들이다. 따라서, 패터닝된 게이트 전극 층(160)은 도시되지 않는다.
도 5를 참조한다. 제2 디바이스 영역(II)을 덮으며 제1 디바이스 영역(I)은 그대로 덮지 않도록 포토레지스트(180)가 형성되어 패터닝된다. 구체적으로, 포토레지스트(180)는 예를 들어 스핀 코팅에 의해 웨이퍼(100) 위에 적용된다. 그 다음, 포토레지스트(180)는 과도한 포토레지스트 용매를 날리도록 프리베이킹(prebaking)된다. 프리베이킹 후에, 포토레지스트(180)는 강한 광의 패턴에 노출된다. 광에의 노출은, 포토레지스트(180)의 일부가 사진 현상액에 용해될 수 있게 하는 화학적 변화를 야기한다. 입사 광의 파괴적(destructive) 및 건설적(constructive) 간섭 패턴에 의해 야기된 정재파(standing wave) 현상을 감소시키는 것을 돕도록, 현상 전에 PEB(post-exposure bake)가 수행될 수 있다. 그 다음, 사진 현상액에 용해 가능한 포토레지스트(180)의 일부를 제거하도록 사진 현상액이 포토레지스트(180)에 적용된다. 이어서, 남은 포토레지스트(180)를 고체화하도록 남은 포토레지스트(180)는 하드베이킹(hard-baking)된다.
포토레지스트(180)를 패터닝한 후에, 도펀트 리치(dopant-rich) 층(190)이 시일 스페이서(170) 상에 형성된다. 도펀트 리치 층(190)은, 예를 들어 플라즈마 이온 보조 증착(PIAD; plasma ion assisted deposition)에 의해 형성될 수 있다. 구체적으로, PIAD를 수행하기 위해 사용되는, 도 18에 도시된 바와 같은 장치(300) 안에 웨이퍼(100)가 배치될 수 있다. 장치(300)는, 웨이퍼(100)가 배치되는 챔버(310), 및 챔버(310)에 연결된 전원(320 및 330)을 포함한다. 전원(320)은 프로그램가능 펄스 변조 기능을 갖는 무선 주파수(RF; radio frequency) 전원일 수 있으며, 전원(330)은 직류(DC; direct current) 바이어스 전압을 제공하기 위한 DC 전원일 수 있다.
전원(320 및 330)은 서로 독립적으로 동작될 수 있다. 전원(320 및 330)은 서로에 영향을 미치는 일 없이 독립적으로 전원이 켜지고 꺼질 수 있도록 프로그램될 수 있다. 도 18에 예시된 바와 같은 장치(300)를 사용하여, 도 5에 도시된 바와 같이, 도펀트 리치 층(190)은 제1 디바이스 영역(I)에 있는 시일 스페이서(170) 상에 형성된다. 도펀트 리치 층(190)은 반도체 핀(130)에 저농도 도핑된 드레인(LDD; lightly-doped drain) 영역을 형성하기 위해 사용되는 적어도 하나의 불순물을 갖는다. 결과적인 핀 전계 효과 트랜지스터(FinFET)의 전도성 타입에 따라, 도펀트 리치 층(190)은 n 타입 불순물(불순물들) 또는 p 타입 불순물(불순물들)을 가질 수 있다. 예를 들어, 결과적인 FinFET이 n 타입 FinFET인 경우 도펀트 리치 층(190)의 불순물은 인, 비소, 또는 이들의 조합일 수 있으며, 결과적인 FinFET이 p 타입 FinFET인 경우에는 도펀트 리치 층(190)의 불순물은 붕소, 인듐, 또는 이들의 조합일 수 있다. 일부 실시예에서, 제1 디바이스 영역(I)은 N 타입 FinFET을 형성하는 데 사용되며, 따라서 도펀트 리치 층(190)의 불순물은 인, 비소, 또는 이들의 조합이다. 도펀트 리치 층(190) 내의 불순물의 원자 비율은 약 80 퍼센트, 90 퍼센트, 95 퍼센트, 또는 심지어 99 퍼센트보다도 클 수 있고, 실제로 순수 불순물 층일 수 있다.
챔버(310)(도 18에 도시됨) 내의 프로세스 가스는, 도펀트 리치 층(190)의 조성에 따라, AsH3, B2H6, PH3, BF3, Xe, Ar, He, Ne, Kr와 같은 희석 가스, 및/또는 기타를 포함할 수 있다. 무선 주파수(RF) 전원(320)(도 18에 도시됨)은 플라즈마(350)를 발생하도록 턴온된다. RF 전원(320)의 전력은 예를 들어 약 50 와트 내지 약 1000 와트의 범위일 수 있지만, 더 크거나 더 작은 전력도 또한 사용될 수 있다. 일부 실시예에서, RF 전원(320)은 도펀트 리치 층(190)을 형성하기 위한 전체 기간 동안 계속해서 턴온된다. 일부 다른 실시예에서, 도 19에 개략적으로 예시되어 있는 바와 같이 RF 전원(320)은 도펀트 리치 층(190)의 정합도(conformity)(스텝 커버리지)를 개선하기 위하여 펄스화되고(pulsed)(온 오프 패턴으로), 도펀트 리치 층(190)의 정합도는 도 5에 도시된 바와 같이 비(ratio) T'/T를 사용하여 나타낼 수 있으며 두께 T'는 도펀트 리치 층(190)의 측벽 부분의 두께이고 두께 T는 도펀트 리치 층(190)의 상부 부분의 두께이다. 일부 실시예에서, 정합도(비 T'/T)는 약 50 퍼센트보다 더 클 수 있다.
도펀트 리치 층(190)의 형성 동안, 도 18에 도시된 바와 같은 직류(DC) 전원(330)은 턴오프되거나, 또는 약 1.5 kV보다 더 낮은 바이어스 전압을 가지며, 그리하여 도펀트 리치 층 형성 동안 어떠한 원치 않는 비정질화(amorphization) 층 형성도 없다. 일부 실시예에서, DC 전원(330)의 바이어스 전압 출력은 약 0 kV 내지 약 1.5 kV 범위이다. DC 바이어스 전압이 낮거나 심지어는 제로이면, 형성 프로세스의 방향성(directionality)은 감소되고, 따라서 도펀트 리치 층(190)은 별개의 층으로서 시일 스페이서(170) 위에 성막될 수 있다. 도펀트 리치 층(190)의 형성 동안 DC 전원(330)에 의해 제공되는 DC 바이어스 전압도 또한 도 19에 개략적으로 예시된 바와 같이 펄스화될 수 있다(턴온 및 턴오프).
도 6을 참조한다. 시일 스페이서(170), 게이트 유전체 층(150), 및/또는 반도체 핀(130) 안으로 도펀트 리치 층(190)의 불순물을 박도록(knock) 노크온 주입(knock-on implantation)이 수행된다. 노크온 주입에 사용되는 이온은, Xe, Ar, Ne, He, Kr, 또는 이들의 조합과 같은 비활성 가스 이온, 또는 결과적인 핀 전계 효과 트랜지스터(FinFET)의 특성에 악영향을 미치지 않는 다른 이온을 포함할 수 있다. 일부 실시예에서, 노크온 주입은 비활성 가스 이온의 산란에 의해 유도된다. 또한, 노크온 주입 및 플라즈마 이온 보조 증착(PIAD)은 도 18에 도시된 바와 같은 장치(300)에 의해 수행될 수 있다. 일부 실시예에서, PIAD 및 노크온 주입은 성막 및 이온 모드의 플라즈마 도핑(PLAD) 프로세스로 간주될 수 있다.
도 7을 참조한다. 노크온 주입 후에, 도 6에 도시된 바와 같이, 캡 층(200)이 도펀트 리치 층(190) 상에 형성될 수 있다. 캡 층(200)은 다음의 어닐링 프로세스 동안 도펀트 리치 층(190)으로부터 불순물이 외부확산(out-diffusion)하는 것을 막을 수 있다. 캡 층(200)은 실리콘 질화물(Si3N4), 실리콘 카본 산질화물(SiCON), 실리콘 카본 질화물(SiCN), 또는 이들의 조합과 같은 유전체 재료로 제조된다. 일부 실시예에서, 캡 층(200)은 오프셋 또는 더미 스페이서 유전체일 수 있다. 캡 층(200)은 약 0.5 nm 내지 약 10 nm 범위의 두께를 갖는다. 캡 층(200)은 예를 들어 화학적 기상 증착(CVD; chemical vapor deposition)에 의해 형성된다.
도 8을 참조한다. 웨이퍼(100)를 어닐링하기 전에, 포토레지스트(180)가 예를 들어 플라즈마 애싱 또는 스트리핑에 의해 웨이퍼(100)로부터 제거된다. 플라즈마 애싱은, 산소 또는 불소와 같은 일원자(monatomic) 반응성 종(reactive species)을 발생하도록 플라즈마 소스를 사용한다. 반응성 종은 포토레지스트(180)와 결합하여, 진공 펌프로 제거되는 애시(ash)를 형성한다. 스트리핑은, 웨이퍼(100)로부터 포토레지스트(180)를 제거하도록, 아세톤 또는 페놀 용매와 같은 포토레지스트 스트리퍼를 사용한다.
도 9를 참조한다. 도펀트 리치 층(190), 시일 스페이서(170), 및/또는 게이트 유전체 층(150) 내의 불순물은 고체상 확산(SPD; solid-phase diffusion) 드라이브인 어닐링 프로세스에 의해 반도체 핀(130) 안으로 확산하여 저농도 도핑된 드레인(LDD) 영역(135)을 형성한다. SPD 드라이브인 어닐링 프로세스는 포토레지스트(180)의 제거 후에 수행될 수 있으며, 그리하여 어닐링 프로세스는 긴 기간 동안(예를 들어, 약 1 초 내지 약 10 초의 범위) 그리고 예를 들어 약 950 ℃ 내지 1050 ℃ 범위의 높은 온도에서 수행될 수 있다. 어닐링 프로세스는 예를 들어 스파이크 어닐링일 수 있다. 대안으로서, 포토레지스트(180)(도 5 내지 도 7에 도시됨)는 어닐링 프로세스의 높은 온도를 견딜 수 있는 하드 마스크로 교체될 수 있다. 일부 실시예에서, 하드 마스크는 실리콘 질화물, 실리콘 산질화물, 또는 이들의 조합으로 제조될 수 있다. 따라서, 어닐링 프로세스는 하드 마스크의 제거 전에 수행될 수 있다. 이들 실시예의 나머지 프로세스는 도 5 내지 도 7에 예시된 바와 본질적으로 동일할 수 있고, 따라서 여기에서 반복되지 않는다.
실시예에서, 도펀트 리치 층(190)을 형성하고 그 다음 반도체 핀(130) 안으로 불순물을 주입시킴으로써, 반도체 핀(130 및 140)이 나노스케일 간격으로 서로 떨어져 있을 때에도, 쉐도잉 효과(shadowing effect) 및/또는 플라즈마 시스(plasma sheath) 효과의 우려 없이 반도체 핀(130)의 상부 표면(132) 및 측벽(134)에 원하는 깊이에 도달할 수 있다. 따라서, 저농도 도핑된 드레인(LDD) 영역(135)이 반도체 핀(130)의 상부 표면(132) 및 측벽(134)에 형성될 수 있다.
구조적 시점에서, 저농도 도핑된 드레인(LDD) 영역(135) 및 LDD 영역(135)을 덮는 시일 스페이서(170)는 실질적으로 동일한 타입의 불순물로 도핑될 수 있고, 그리고/또는 LDD 영역(135) 및 LDD 영역(135)을 덮는 게이트 유전체 층(150)도 실질적으로 동일한 타입의 불순물로 도핑될 수 있다. 불순물은 LDD 영역(135)을 덮는 시일 스페이서(170) 및/또는 게이트 유전체 층(150)을 통해 LDD 영역(135) 안으로 주입되므로, LDD 영역(135)을 덮는 시일 스페이서(170) 및/또는 게이트 유전체 층(150)에 남아있는 불순물 및 LDD 영역(135) 안으로 주입된 불순물은 실질적으로 동일한 타입으로 이루어질 수 있다.
도 10을 참조한다. 제1 디바이스 영역(I)을 덮으며 제2 디바이스 영역(II)은 그대로 덮지 않도록 포토레지스트(210)가 형성되어 패터닝된다. 구체적으로, 포토레지스트(210)는 예를 들어 스핀 코팅에 의해 웨이퍼(100) 위에 적용된다. 그 다음, 포토레지스트(210)는 과도한 포토레지스트 용매를 날리도록 프리베이킹된다. 프리베이킹 후에, 포토레지스트(210)는 강한 광의 패턴에 노출된다. 광에의 노출은, 포토레지스트(210)의 일부가 사진 현상액에 용해될 수 있게 하는 화학적 변화를 야기한다. 입사 광의 파괴적 및 건설적 간섭 패턴에 의해 야기된 정재파 현상을 감소시키는 것을 돕도록, 현상 전에 PEB가 수행될 수 있다. 그 다음, 사진 현상액에 용해 가능한 포토레지스트(210)의 일부를 제거하도록 사진 현상액이 포토레지스트(210)에 적용된다. 이어서, 남은 포토레지스트(210)를 고체화하도록 남은 포토레지스트(210)는 하드베이킹된다.
도 11 및 도 12를 참조한다. 확산 강화(diffusion enhancement) 도펀트가 제2 디바이스 영역(II)에 있는 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 안으로 도핑될 수 있다. 확산 강화 도펀트는, 다음 단계에서 시일 스페이서(170) 및/또는 게이트 유전체 층(150)에서 반도체 핀(140) 안으로 확산할 불순물의 확산을 강화할 수 있다. 일부 실시예에서, 제2 디바이스 영역(II)은 P 타입 핀 전계 효과 트랜지스터(FinFET)를 형성하는 데 사용되며, 따라서 다음 단계에서 반도체 핀(140) 안으로 확산할 불순물은 붕소일 수 있다. 그러나, 질화물 재료, 산화물 재료, 또는 이들의 조합으로 제조된 시일 스페이서(170) 및/또는 게이트 유전체 층(150)은, 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 내의 붕소의 확산을 지연시키는 경향이 있다. 따라서, 시일 스페이서(170) 및/또는 게이트 유전체 층(150)에서의 불순물의 확산을 강화하도록 확산 강화 도펀트가 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 안으로 도핑될 수 있다. 일부 실시예에서, 확산 강화 도펀트는 예를 들어 불소이다.
일부 실시예에서, 확산 강화 도펀트의 도핑은, 확산 강화 도펀트가 예를 들어 불소일 수 있다는 것을 제외하고는, 설명된 바와 본질적으로 동일한 프로세스(플라즈마 이온 보조 증착(PIAD) 및 노크온 주입 프로세스를 포함함)를 사용할 수 있다. 구체적으로, 도 11에 도시된 바와 같이, 확산 강화 도펀트 리치 층(215)이 PIAD에 의해 시일 스페이서(170) 상에 형성된다. 도 12에 도시된 바와 같이, 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 안으로 확산 강화 도펀트 리치 층(215)의 확산 강화 도펀트를 박도록 노크온 주입이 수행된다. 노크온 주입에 사용되는 이온은, Xe, Ar, Ne, He, Kr, 또는 이들의 조합과 같은 비활성 가스 이온, 또는 결과적인 핀 전계 효과 트랜지스터(FinFET)의 특성에 악영향을 미치지 않는 다른 이온을 포함할 수 있다. 일부 실시예에서, 노크온 주입은 비활성 가스 이온의 산란에 의해 유도된다.
도 13을 참조한다. 도펀트 리치 층(220)이 확산 강화 도펀트 리치 층(215) 상에 형성된다. 도펀트 리치 층(220)은 플라즈마 이온 보조 증착(PIAD)에 의해 형성될 수 있다. 도펀트 리치 층(200)의 형성은, 도펀트 리치 층(220)이 도펀트 리치 층(170)과는 상이한 타입 불순물을 가질 수 있다는 것을 제외하고는, 도펀트 리치 층(170)의 형성과 본질적으로 동일한 프로세스를 사용할 수 있으며, 따라서 여기에서 반복되지 않는다.
도 14를 참조한다. 확산 강화 도펀트 리치 층(215), 시일 스페이서(170), 게이트 유전체 층(150), 및/또는 반도체 핀(140) 안으로 도펀트 리치 층(220)의 불순물을 박도록 노크온 주입이 수행된다. 노크온 주입에 사용되는 이온은, Xe, Ar, Ne, He, Kr, 또는 이들의 조합과 같은 비활성 가스 이온, 또는 결과적인 핀 전계 효과 트랜지스터(FinFET)의 특성에 악영향을 미치지 않는 다른 이온을 포함할 수 있다. 일부 실시예에서, 노크온 주입은 비활성 가스 이온의 산란에 의해 유도된다. 도 14에 도시된 노크온 주입은, 도펀트 리치 층(220)이 도펀트 리치 층(170)과는 상이한 타입 불순물을 가질 수 있다는 것을 제외하고는, 도 6에 도시된 노크온 주입과 본질적으로 동일할 수 있으며, 따라서 여기에서 반복되지 않는다.
도 15를 참조한다. 노크온 주입 후에, 도 14에 도시된 바와 같이, 캡 층(230)이 도펀트 리치 층(220) 상에 형성될 수 있다. 캡 층(230)은 다음의 어닐링 프로세스 동안 불순물이 도펀트 리치 층(220)으로부터 외부확산하는 것을 막을 수 있다. 캡 층(230)은 실리콘 질화물(Si3N4), 실리콘 카본 산질화물(SiCON), 실리콘 카본 질화물(SiCN), 또는 이들의 조합과 같은 유전체 재료로 제조된다. 일부 실시예에서, 캡 층(230)은 오프셋 또는 더미 스페이서 유전체일 수 있다. 캡 층(230)은 약 0.5 nm 내지 약 10 nm 범위의 두께를 갖는다. 캡 층(230)은 예를 들어 화학적 기상 증착(CVD)에 의해 형성될 수 있다.
도 16을 참조한다. 웨이퍼(100)를 어닐링하기 전에, 포토레지스트(210)가 예를 들어 플라즈마 애싱 또는 스트리핑에 의해 웨이퍼(100)로부터 제거된다. 플라즈마 애싱은 산소 또는 불소와 같은 일원자 반응성 종을 발생하도록 플라즈마 소스를 사용한다. 반응성 종은 포토레지스트(210)와 결합하여, 진공 펌프로 제거되는 애시를 형성한다. 스트리핑은 웨이퍼(100)로부터 포토레지스트(210)를 제거하도록 아세톤 또는 페놀 용매와 같은 포토레지스트 스트리퍼를 사용한다.
도 17을 참조한다. 도펀트 리치 층(220), 확산 강화 도펀트 리치 층(215), 시일 스페이서(170), 및/또는 게이트 유전체 층(150) 내의 불순물은 고체상 확산(SPD) 드라이브인 어닐링 프로세스에 의해 반도체 핀(140) 안으로 확산하여 저농도 도핑된 드레인(LDD) 영역(145)을 형성한다. SPD 드라이브인 어닐링 프로세스는 포토레지스트(210)의 제거 후에 수행될 수 있으며, 그리하여 어닐링 프로세스는 긴 기간 동안(예를 들어, 약 1 초 내지 약 10 초의 범위) 그리고 예를 들어 약 950 ℃ 내지 1050 ℃ 범위의 높은 온도에서 수행될 수 있다. 어닐링 프로세스는 스파이크 또는 소크(soak) 어닐링일 수 있다. 일부 실시예에서, 도 17에 도시된 어닐링 프로세스는 도 9에 도시된 어닐링 프로세스보다 더 큰 열 버짓(thermal budget)을 가질 수 있다. 대안으로서, 포토레지스트(210)(도 10 내지 도 15에 도시됨)는 어닐링 프로세스의 높은 온도를 견딜 수 있는 하드 마스크로 교체될 수 있다. 일부 실시예에서, 하드 마스크는 실리콘 질화물, 실리콘 산질화물, 또는 이들의 조합으로 제조될 수 있다. 따라서, 어닐링 프로세스는 하드 마스크의 제거 전에 수행될 수 있다. 이들 실시예의 나머지 프로세스는 도 10 내지 도 15에 예시된 바와 본질적으로 동일할 수 있고, 따라서 여기에서 반복되지 않는다.
일부 실시예에서, 반도체 핀(140) 안으로 확산하는 불순물이 붕소일 때, 어닐링 프로세스는 O2 분위기에서 수행될 수 있다. 질화물 재료, 산화물 재료, 또는 이들의 조합으로 제조된 시일 스페이서(170) 및/또는 게이트 유전체 층(150)은 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 내의 붕소의 확산을 지연시키는 경향이 있다. 따라서, 어닐링 프로세스는 시일 스페이서(170) 및/또는 게이트 유전체 층(150) 내의 붕소의 확산을 강화하도록 O2 분위기에서 수행될 수 있다.
실시예에서, 도펀트 리치 층(220)을 형성하고 그 다음 반도체 핀(140) 안으로 불순물을 주입시킴으로써, 반도체 핀(130 및 140)이 나노스케일 간격으로 서로 떨어져 있을 때에도, 쉐도잉 효과 및/또는 플라즈마 시스 효과의 우려 없이 반도체 핀(140)의 상부 표면(142) 및 측벽(144)에 원하는 깊이에 도달할 수 있다. 따라서, 저농도 도핑된 드레인(LDD) 영역(145)이 반도체 핀(140)의 상부 표면(142) 및 측벽(144)에 형성될 수 있다.
구조적 시점에서, 저농도 도핑된 드레인(LDD) 영역(145) 및 LDD 영역(145)을 덮는 시일 스페이서(170)는 실질적으로 동일한 타입의 불순물로 도핑될 수 있고, 그리고/또는 LDD 영역(145) 및 LDD 영역(145)을 덮는 게이트 유전체 층(150)도 실질적으로 동일한 타입의 불순물로 도핑될 수 있다. 불순물이 LDD 영역(145)을 덮는 시일 스페이서(170) 및/또는 게이트 유전체 층(150)을 통해 LDD 영역(145) 안으로 주입되므로, LDD 영역(145)을 덮는 시일 스페이서(170) 및/또는 게이트 유전체 층(150)에 남아있는 불순물 및 LDD 영역(145) 안으로 주입된 불순물은 실질적으로 동일한 타입으로 이루어질 수 있다.
상기에 나타낸 실시예들에 대하여, 반도체 디바이스의 제조를 완료하도록 추가적인 프로세스가 수행될 수 있다는 것을 이해하여야 한다. 예를 들어, 이들 추가적인 프로세스는 소스/드레인 에피텍시 루프, 컨택의 형성, 상호접속 구조(예를 들어, 반도체 디바이스에 전기적 상호접속을 제공하는 라인 및 비아, 금속 층, 및 층간 유전체)의 형성, 패시베이션 층의 형성, 및 반도체 디바이스의 패키징을 포함할 수 있다.
쉐도잉 효과 및/또는 플라즈마 시스 효과의 우려 없이 반도체 핀의 상부 표면 및 측벽에 저농도 도핑된 드레인(LDD) 영역을 형성하기 위하여, 실시예에서, 간접 플라즈마 도핑(PLAD) 프로세스가 수행된다. 즉, 도펀트 리치 층이 형성되고, 그 다음 도펀트 리치 층 내의 불순물이 반도체 핀 안으로 주입된다. PLAD 프로세스를 수행함으로써, 불순물은 쉐도잉 효과 및/또는 플라즈마 시스 효과의 우려 없이 반도체 핀의 상부 표면 및 측벽에 원하는 깊이에 도달할 수 있다.
일부 실시예에 따르면, 반도체 구조물은, 기판, 제1 반도체 핀, 제2 반도체 핀, 및 제1 저농도 도핑된 드레인(LDD) 영역을 포함한다. 제1 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀은 상부 표면 및 측벽을 갖는다. 제2 반도체 핀은 기판 상에 배치된다. 제1 반도체 핀과 제2 반도체 핀은 나노스케일 간격으로 서로 떨어져 있다. 제1 저농도 도핑된 드레인(LDD) 영역은 적어도 제1 반도체 핀의 상부 표면 및 측벽에 배치된다.
일부 실시예에 따르면, 반도체 구조물을 제조하는 방법이 제공된다. 방법은, 기판 상에 적어도 하나의 반도체 핀 - 상기 반도체 핀은 상부 표면 및 측벽을 가짐 - 을 형성하는 단계; 반도체 핀의 상부 표면 및 측벽 상에 적어도 하나의 유전체 층을 형성하는 단계; 유전체 층 상에 적어도 하나의 불순물을 포함하는 도펀트 리치 층을 형성하는 단계; 및 유전체 층을 통해 반도체 핀 안으로 불순물을 주입시키는 단계를 포함한다.
일부 실시예에 따르면, 반도체 구조물을 제조하는 방법이 제공된다. 방법은, 기판 상에 적어도 하나의 제1 반도체 핀 - 상기 제1 반도체 핀은 상부 표면 및 측벽을 가짐 - 및 적어도 하나의 제2 반도체 핀을 형성하는 단계; 제1 반도체 핀의 상부 표면 및 측벽 상에 적어도 하나의 제1 유전체 층을 형성하는 단계; 제1 유전체 층을 덮지 않으면서 제2 반도체 핀을 덮도록 제1 포토레지스트를 형성하는 단계; 제1 유전체 층 안으로 적어도 하나의 제1 불순물을 주입하는 단계; 제1 포토레지스트를 제거하는 단계; 및 제1 반도체 핀 안으로 제1 불순물을 주입시키는 단계를 포함한다.
전술한 바는 당해 기술 분야에서의 숙련자들이 본 개시의 양상들을 보다 잘 이해할 수 있도록 여러 실시예들의 특징을 나타낸 것이다. 당해 기술 분야에서의 숙련자들은, 여기에 소개된 실시예와 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기반으로서 본 개시를 용이하게 사용할 수 있다는 것을 알아야 한다. 당해 기술 분야에서의 숙련자라면 또한, 이러한 등가의 구성은 본 개시의 사상 및 범위에서 벗어나지 않으며, 본 개시의 사상 및 범위에서 벗어나지 않고서 여기에 다양한 변경, 치환, 및 대안을 행할 수 있다는 것을 알아야 한다.
삭제

Claims (10)

  1. 반도체 구조물을 제조하는 방법에 있어서,
    기판 위에 제1 반도체 핀 및 제2 반도체 핀을 형성하는 단계 - 상기 제1 반도체 핀은 상부 표면 및 측벽을 갖고, 상기 제1 반도체 핀과 상기 제2 반도체 핀은 서로 떨어져 있음 - ;
    상기 제1 반도체 핀의 상부 표면 및 측벽 상에 게이트 유전체 층을 형성하는 단계;
    상기 게이트 유전체 층 상에 적어도 하나의 불순물을 포함하는 도펀트 리치(dopant-rich) 층을 형성하는 단계; 및
    적어도 상기 제1 반도체 핀의 상부 표면 및 측벽에 배치된 제1 저농도 도핑된 드레인(lightly-doped drain; LDD) 영역을 형성하는 단계
    를 포함하고,
    상기 제1 저농도 도핑된 드레인(LDD) 영역 형성 단계는, 상기 게이트 유전체 층을 통해 상기 제1 반도체 핀 안으로 상기 불순물을 주입(drive)시키기 위해 적어도 부분적으로 노크온 주입 프로세스(knock-on implantation process)를 이용하여 수행되는 것인, 반도체 구조물의 제조 방법.
  2. 청구항 1에 있어서, 적어도 상기 제1 저농도 도핑된 드레인(LDD) 영역을 덮는 시일 스페이서(seal spacer)를 형성하는 단계를 더 포함하는, 반도체 구조물의 제조 방법.
  3. 청구항 2에 있어서, 상기 제1 저농도 도핑된 드레인(LDD) 영역과 상기 시일 스페이서는 동일한 타입의 불순물로 도핑되는 것인, 반도체 구조물의 제조 방법.
  4. 청구항 1에 있어서, 상기 제2 반도체 핀은 상부 표면 및 측벽을 갖고,
    상기 반도체 구조물의 제조 방법은, 적어도 상기 제2 반도체 핀의 상부 표면 및 측벽에 배치된 제2 저농도 도핑된 드레인(LDD) 영역을 형성하는 단계를 더 포함하고,
    상기 제1 LDD 영역과 상기 제2 LDD 영역은 상이한 타입의 불순물로 도핑되는 것인, 반도체 구조물의 제조 방법.
  5. 반도체 구조물을 제조하는 방법에 있어서,
    기판 상에 적어도 하나의 반도체 핀 - 상기 반도체 핀은 상부 표면 및 측벽을 가짐 - 을 형성하는 단계;
    상기 반도체 핀의 상부 표면 및 측벽 상에 적어도 하나의 유전체 층을 형성하는 단계;
    상기 유전체 층 상에 적어도 하나의 불순물을 포함하는 도펀트 리치(dopant-rich) 층을 형성하는 단계; 및
    상기 유전체 층을 통해 상기 반도체 핀 안으로 상기 불순물을 주입시키는(drive) 단계를 포함하는, 반도체 구조물의 제조 방법.
  6. 청구항 5에 있어서, 상기 주입시키는 단계는,
    상기 유전체 층 안으로 상기 불순물을 박도록(knock) 노크온 주입(knock-on implantation)을 수행하는 단계를 포함하는 것인, 반도체 구조물의 제조 방법.
  7. 청구항 5에 있어서,
    상기 주입시키는 단계 전에 상기 유전체 층 안으로 적어도 하나의 확산 강화 도펀트를 주입하는 단계를 더 포함하고,
    상기 확산 강화 도펀트는 상기 유전체 층 내에서 상기 불순물의 확산을 강화할 수 있는 것인, 반도체 구조물의 제조 방법.
  8. 청구항 5에 있어서, 상기 주입시키는 단계는,
    상기 반도체 핀 안으로 상기 불순물을 주입시키도록 어닐링 프로세스를 수행하는 단계를 포함하는 것인, 반도체 구조물의 제조 방법.
  9. 청구항 5에 있어서, 상기 유전체 층을 형성하는 단계는,
    상기 반도체 핀의 상부 표면 및 측벽 상에 게이트 유전체 층 또는 시일 스페이서 중의 적어도 하나를 형성하는 단계를 포함하는 것인, 반도체 구조물의 제조 방법.
  10. 반도체 구조물을 제조하는 방법에 있어서,
    기판 상에 적어도 하나의 제1 반도체 핀 - 상기 제1 반도체 핀은 상부 표면 및 측벽을 가짐 - 및 적어도 하나의 제2 반도체 핀을 형성하는 단계;
    상기 제1 반도체 핀의 상부 표면 및 측벽 상에 적어도 하나의 제1 유전체 층을 형성하는 단계;
    상기 제1 유전체 층을 덮지 않으면서 상기 제2 반도체 핀을 덮도록 제1 포토레지스트를 형성하는 단계;
    상기 제1 유전체 층 상에 적어도 하나의 제1 불순물을 포함하는 도펀트 리치 층을 형성하는 단계;
    상기 제1 유전체 층 안으로 상기 제1 불순물을 주입하는 단계;
    상기 제1 포토레지스트를 제거하는 단계; 및
    상기 제1 반도체 핀 안으로 상기 제1 불순물을 주입시키는 단계
    를 포함하는, 반도체 구조물의 제조 방법.
KR1020150179147A 2015-04-22 2015-12-15 반도체 구조물 및 이의 제조 방법 KR101795875B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562151286P 2015-04-22 2015-04-22
US62/151,286 2015-04-22
US14/853,839 US9978866B2 (en) 2015-04-22 2015-09-14 Semiconductor structure and manufacturing method thereof
US14/853,839 2015-09-14

Publications (2)

Publication Number Publication Date
KR20160125870A KR20160125870A (ko) 2016-11-01
KR101795875B1 true KR101795875B1 (ko) 2017-11-08

Family

ID=57110297

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150179147A KR101795875B1 (ko) 2015-04-22 2015-12-15 반도체 구조물 및 이의 제조 방법

Country Status (5)

Country Link
US (4) US9978866B2 (ko)
KR (1) KR101795875B1 (ko)
CN (1) CN106067479B (ko)
DE (1) DE102015116975B4 (ko)
TW (1) TWI599037B (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9837487B2 (en) * 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
KR102270250B1 (ko) * 2016-03-24 2021-06-25 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
CN108074812B (zh) * 2016-11-08 2020-07-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的制造方法
US10515969B2 (en) * 2016-11-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10700181B2 (en) * 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
US10629494B2 (en) 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10163657B1 (en) 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10700197B2 (en) 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
DE102020126060A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtige high-k-gatedielektrikumstruktur

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8187928B2 (en) * 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8785286B2 (en) * 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US9318367B2 (en) * 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
KR20140148189A (ko) * 2013-06-21 2014-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9362404B2 (en) * 2014-02-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Doping for FinFET
US9111962B1 (en) * 2014-03-20 2015-08-18 International Business Machines Corporation Selective dielectric spacer deposition for exposing sidewalls of a finFET
US9558946B2 (en) * 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
CN105990239B (zh) * 2015-02-06 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
US9330982B1 (en) * 2015-08-14 2016-05-03 Globalfoundries Inc. Semiconductor device with diffusion barrier film and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法

Also Published As

Publication number Publication date
TWI599037B (zh) 2017-09-11
CN106067479B (zh) 2019-10-15
US9978866B2 (en) 2018-05-22
US20180277678A1 (en) 2018-09-27
TW201639153A (zh) 2016-11-01
KR20160125870A (ko) 2016-11-01
US20220262951A1 (en) 2022-08-18
DE102015116975A1 (de) 2016-10-27
US10535768B2 (en) 2020-01-14
US20200152792A1 (en) 2020-05-14
US20160315191A1 (en) 2016-10-27
DE102015116975B4 (de) 2022-09-15
CN106067479A (zh) 2016-11-02
US11355635B2 (en) 2022-06-07

Similar Documents

Publication Publication Date Title
KR101795875B1 (ko) 반도체 구조물 및 이의 제조 방법
US8703593B2 (en) Techniques for FinFET doping
US10741453B2 (en) FinFET device
US10573563B2 (en) Semiconductor structure and fabrication method thereof
CN107919324B (zh) 半导体器件的形成方法
US9263585B2 (en) Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US9634087B1 (en) FinFET and fabrication method thereof
WO2009026403A2 (en) Semiconductor device formed with source/drain nitrogen implant
CN116504718A (zh) 一种半导体结构的制作方法
CN109087859B (zh) 一种半导体器件的制造方法
CN109285778B (zh) 半导体器件及其形成方法
CN108281485B (zh) 半导体结构及其形成方法
CN107579108B (zh) 半导体结构的形成方法
CN111863725B (zh) 半导体结构及其形成方法
EP3291291B1 (en) Semiconductor device and fabrication method thereof
US10770555B2 (en) Semiconductor device and method for forming the same
CN107785264B (zh) 半导体器件的形成方法
CN107437533B (zh) 半导体结构及其制造方法
CN107045982B (zh) 半导体结构的形成方法
TWI761010B (zh) 具有非對稱源極與汲極之電晶體
CN113053751B (zh) 半导体结构及其形成方法
US20210202252A1 (en) Semiconductor structure and method of manufacturing the same
CN107731689B (zh) 半导体结构的形成方法
CN107799469B (zh) 半导体器件的形成方法
CN108630543B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant