KR101699769B1 - 저항 메모리 소자 및 그 형성방법 - Google Patents

저항 메모리 소자 및 그 형성방법 Download PDF

Info

Publication number
KR101699769B1
KR101699769B1 KR1020100011555A KR20100011555A KR101699769B1 KR 101699769 B1 KR101699769 B1 KR 101699769B1 KR 1020100011555 A KR1020100011555 A KR 1020100011555A KR 20100011555 A KR20100011555 A KR 20100011555A KR 101699769 B1 KR101699769 B1 KR 101699769B1
Authority
KR
South Korea
Prior art keywords
electrode
layer
electrolyte layer
transition metal
metal oxide
Prior art date
Application number
KR1020100011555A
Other languages
English (en)
Other versions
KR20110092092A (ko
Inventor
남경태
백인규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100011555A priority Critical patent/KR101699769B1/ko
Priority to US12/963,148 priority patent/US8581364B2/en
Publication of KR20110092092A publication Critical patent/KR20110092092A/ko
Application granted granted Critical
Publication of KR101699769B1 publication Critical patent/KR101699769B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • H10N70/046Modification of switching materials after formation, e.g. doping by diffusion, e.g. photo-dissolution
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

저항 메모리 소자 및 그 형성방법이 제공된다. 이 저항 메모리 소자는 기판 상의 제1 전극과 제2 전극, 제1 전극과 제2 전극 사이의 전이금속 산화물층, 제2 전극과 전이금속 산화물층 사이의 전해질층, 및 전해질층 내의 제2 전극과 전기적으로 접하는 일 단을 포함하는 도전 브릿지를 포함한다.

Description

저항 메모리 소자 및 그 형성방법{RESISTANCE MEMORY DEVICES AND METHODS OF FORMING THE SAME}
본 발명은 메모리 소자 및 그 형성방법에 관한 것으로, 보다 상세하게는 저항 메모리 소자 및 그 형성방법에 관한 것이다.
저항 메모리 소자는 메모리 셀에 서로 다른 저항값을 갖는 상태들을 갖는 저항 요소를 적용하는 메모리 소자이다. 저항 메모리 소자로 상변화에 따른 저항 변화를 갖는 상변화 메모리 소자(PRAM), 자기저항 메모리 소자(MRAM), 및 전이금속 산화물을 이용한 저항 메모리 소자(RRAM) 등이 알려져 있다.
이 중 전이금속 산화물을 이용한 저항 메모리 소자는 인가되는 전압에 따라 전이금속 산화물층의 비저항이 변하는 성질을 이용한 메모리 소자로써, 비휘발성 소자로 구현될 수 있다.
본 발명이 이루고자하는 일 기술적 과제는 신뢰성이 향상된 저항 메모리 소자 및 그 형성방법을 제공하는 것이다.
본 발명이 이루고자하는 다른 기술적 과제는 공정 효율성이 향상된 저항 메모리 소자의 형성방법을 제공하는 것이다.
상술한 기술적 과제를 해결하기 위한 저항 메모리 소자 및 그 형성방법이 제공된다.
본 발명의 실시예들에 따른 저항 메모리 소자는, 반도체 기판 상의 제1 전극과 제2 전극, 상기 제1 전극과 상기 제2 전극 사이의 전이금속 산화물층, 상기 제2 전극과 상기 전이금속 산화물층 사이의 전해질층, 및 상기 전해질층 내의 상기 제2 전극과 전기적으로 접하는 일 단을 포함하는 도전 브릿지를 포함할 수 있다.
일 실시예에서, 상기 전해질층은 비정질 절연물질을 포함할 수 있다.
일 실시예에서, 상기 전해질층은 비정질 산화실리콘 및/또는 비정질 질화실리콘을 포함할 수 있다.
일 실시예에서, 상기 전해질층은 칼코게나이드 화합물을 포함할 수 있다.
일 실시예에서, 상기 제1 전극 및 상기 제2 전극에 소정의 전압이 인가되는 경우, 상기 전이금속 산화물층 내에 필라멘트가 형성되되, 상기 필라멘트는 상기 도전 브릿지와 전기적으로 연결될 수 있다.
일 실시예에서, 상기 도전 브릿지는 상기 전이금속 산화물층과 접하는 타 단을 포함할 수 있다.
일 실시예에서, 상기 도전 브릿지는 상기 전이금속 산화물층 내로 연장될 수 있다.
일 실시예에서, 상기 제2 전극은 상기 제1 전극보다 높은 산화력을 갖는 물질을 포함할 수 있다. 예를 들어, 상기 제2 전극은 희유 금속의 도전성 산화물을 포함할 수 있다.
본 발명의 실시예들에 따른 저항 메모리 소자의 형성방법은, 반도체 기판 상에 제1 전극을 형성하는 것, 상기 제1 전극 상에 전이금속 산화물층을 형성하는 것, 상기 전이금속 산화물층 상에 전해질층을 형성하는 것, 상기 전해질층 상에 금속층을 형성하는 것, 상기 금속층 내의 금속을 상기 전해질층 내로 이동시켜 도전 브릿지를 형성하는 것, 및 상기 전해질층 상에 상기 도전 브릿지와 전기적으로 연결되는 제2 전극을 형성하는 것을 포함할 수 있다.
일 실시예에서, 상기 금속의 이동 후, 상기 금속층이 제거될 수 있다. 이에 의해, 상기 전해질층의 상부면을 노출될 수 있다.
일 실시예에서, 상기 금속을 이동시키는 것은, 상기 금속층 상에 자외선을 조사하는 것을 더 포함할 수 있다.
일 실시예에서, 상기 금속을 이동시키는 것은, 상기 금속층 및 상기 전해질층을 열처리하는 것을 더 포함할 수 있다.
일 실시예에서, 상기 도전 브릿지를 형성하는 것은, 상기 금속층 내의 금속이 이온 상태로 상기 전해질층으로 이동하는 것 및 상기 이동된 이온 상태의 금속이 상기 전해질층 내에서 환원되는 것을 포함할 수 있다.
일 실시예에서, 상기 금속은 상기 전이금속 산화물층의 상부 내로 더 이동할 수 있다.
일 실시예에서, 상기 제1 전극은 텅스텐, 질화티타늄 및 질화탄탈륨 중 선택된 적어도 하나를 포함하고, 상기 제2 전극은 산화이리듐 및 산화루테늄 중 선택된 적어도 하나를 포함할 수 있다.
본 발명의 다른 실시예에 따른 저항 메모리 소자의 형성방법은, 반도체 기판 상에 제2 전극을 형성하는 것, 상기 제2 전극 상에 전해질층을 형성하는 것, 상기 전해질층 상에 금속층을 형성하는 것, 상기 금속층 내의 금속을 상기 전해질층 내로 이동시켜 도전 브릿지를 형성하는 것, 상기 전해질층 상에 전이금속 산화물층을 형성하는 것, 및 상기 전이금속 산화물층 상에 제1 전극을 형성하는 것을 포함할 수 있다.
본 발명의 실시예들에 따르면, 전극과 전이금속 산화물층 사이에 도전 브릿지를 포함하는 전해질층을 포함하는 저항 메모리 소자가 제공된다. 상기 저항 메모리 소자의 동작시 상기 전해질층 내의 도전 브릿지에 의해 상기 전이금속 산화물층 내의 필라멘트의 산포가 감소될 수 있다. 이에 의해 저항 메모리 소자의 저항 산포가 감소되며, 이에 따라 저항 메모리 소자의 신뢰성이 향상될 수 있다.
도 1a 및 도 1b는 본 발명의 실시예들에 따른 저항 메모리 소자를 나타내는 단면도이다.
도 2는 본 발명의 일 실시예에 따른 저항 메모리 소자의 저항요소를 나타낸 단면도이다.
도 3은 본 발명의 다른 실시예에 따른 저항 메모리 소자의 저항요소를 나타낸 단면도이다.
도 4는 본 발명의 또 다른 실시예에 따른 저항 메모리 소자의 저항요소를 나타낸 단면도이다.
도 5a 내지 도 5c는 본 발명의 일 실시예에 따른 저항 메모리 소자의 형성방법을 설명하기 위한 도면들이다.
도 6a 및 도 6c는 본 발명의 또 다른 실시예에 따른 저항 메모리 소자의 형성방법을 설명하기 위한 도면들이다.
이하, 도면을 참조하여 본 발명의 실시예들에 따른 저항 메모리 소자 및 그 형성방법이 설명된다. 설명되는 실시예들은 본 발명의 사상을 당업자가 용이하게 이해할 수 있도록 제공되는 것으로, 이에 의해 본 발명이 한정되지 않는다. 본 발명의 실시예들은 본 발명의 기술적 사상 및 범위 내에서 다른 형태로 변형될 수 있다. 본 명세서에서 '및/또는'은 전후에 나열한 구성요소들 중 적어도 하나를 포함하는 의미로 사용되었다. 본 명세서에서 일 구성요소가 다른 구성요소 '상에' 위치한다는 것은 일 구성요소 상에 다른 구성요소가 직접 위치한다는 의미는 물론, 상기 일 구성요소 상에 제3 의 구성요소가 더 위치할 수 있다는 의미도 포함한다. 본 명세서 각 구성요소 또는 부분 등을 제1, 제2 등의 표현을 사용하여 지칭하였으나, 이는 명확한 설명을 위해 사용된 표현으로 이에 의해 한정되지 않는다. 도면에 표현된 구성요소들의 두께 및 상대적인 두께는 본 발명의 실시예들을 명확하게 표현하기 위해 과장된 것일 수 있다.
도 1a 및 도 2를 참조하여, 본 발명의 일 실시예에 따른 저항 메모리 소자가 설명된다. 도 1a는 본 발명의 일 실시예에 따른 저항 메모리 소자를 구성하는 셀을 도시한 도면이고, 도 2는 상기 셀 내의 저항 요소(140)를 확대한 도면이다.
반도체 기판(110) 상에 트랜지스터가 배치된다. 상기 트랜지스터는, 상기 반도체 기판(110) 상에 차례로 적층된 게이트 유전막(123) 및 게이트 전극(125)과 상기 게이트 전극(125)의 양측의 상기 반도체 기판(110) 내의 소오스/드레인 영역(113)을 포함할 수 있다. 이와 달리, 상기 트랜지스터는 다이오드로 대체될 수도 있다.
도 1a에 도시된 바와 달리, 상기 트랜지스터는 다른 선택 소자로 대체될 수 있다. 도 1b를 참조하면, 저항 요소(140)의 상부와 하부에 두 배선들(139, 129)이 상기 저항 요소(140)를 교차점으로 서로 교차하며 배치될 수 있다. 상기 두 배선들 중 어느 하나, 예를 들어 하부 배선(129)과 상기 저항 요소(140)는 선택 소자(135)에 의해 연결될 수 있다. 상기 선택 소자(135)는 다이오드, 탄소 나노 구조체 및 커패시터를 포함하는 다양한 선택 소자 중 선택될 수 있다.
상기 반도체 기판(110) 상에 상기 게이트 전극(125) 및 상기 소오스/드레인 영역(113)을 덮는 제1 층간 절연막(120)이 배치된다. 상기 소오스/드레인 영역(113) 상에 상기 제1 층간 절연막(120)을 관통하는 제1 콘택(127)이 배치된다. 상기 제1 콘택(127)은 상기 소오스/드레인 영역(113)과 전기적으로 연결된다. 상기 제1 콘택(127)과 상기 소오스/드레인 영역(113) 사이에는 실리사이드층이 더 개재될 수도 있다.
상기 제1 층간 절연막(120) 상에 저항 요소(140)가 배치될 수 있다. 상기 저항 요소(140)는, 상기 제1 콘택(127)과 전기적으로 연결된 제1 전극(40), 상기 제1 전극(40) 상의 전이금속 산화물층(44), 상기 전이금속 산화물층(44) 상의 전해질층(45), 및 상기 전해질층(45) 상의 제2 전극(49)을 포함할 수 있다.
상기 제1 전극(40)은 이리듐(Ir), 백금(Pt), 루테늄(Ru) 및 텅스텐(W)을 포함하는 금속, 질화티타늄(TiN) 및 질화탄탈륨(TaN)을 포함하는 도전성 금속화합물 및 도핑된 반도체 중 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 제1 전극(40)은 텅스텐, 질화티타늄 및 질화탄탈륨 중 선택된 적어도 하나를 포함할 수 있다.
상기 전이금속 산화물층(44)은 니켈(Ni), 티타늄(TiO), 바나듐(V), 철(Fe), 크롬(Cr), 코발트(Co), 하프늄(Hf) 및 탄탈륨(Ta) 중 선택된 적어도 하나의 산화물을 포함할 수 있다. 상기 전이금속 산화물층(44)는 수십 내지 수백 Å의 두께를 가질 수 있다.
상기 전해질층(45)은 절연성 고체 전해질을 포함할 수 있다. 예를 들어, 상기 전해질층(45)은 절연성 고체 전해질을 포함할 수 있다. 상기 전해질층(45)은 예를 들어, 산화실리콘(SiO2)을 포함하는 산화물, 질화실리콘(SiN)을 포함하는 질화물, 및 칼코겐 화합물 중 선택된 적어도 하나를 포함할 수 있다. 상기 전해질층(45)은 비정질 상태일 수 있다.
본 명세서에서, 고체 전해질은 외부로부터 제공된 이온에 전자를 제공하거나, 외부로부터 제공된 이온으로부터 전자를 제공받는 물질로 정의될 수 있다. 일 실시예에서, 이러한 고체 전해질의 성질은 상기 고체 전해질 내에 결함(defect)이 존재하는 것에 기인할 수 있다. 상기 결함은 전자적인 결함 및/또는 물리적인 결함을 포함할 수 있다. 예를 들어, 상기 고체 전해질 내에 베이컨시(vacancy)가 존재하고, 상기 베이컨시에 의해 상기 고체 전해질의 외부로부터 제공된 이온이 산화 또는 환원될 수 있다. 상기 전해질층(45)은 수 내지 수십 Å의 두께로 형성될 수 있다.
상기 전해질층(45) 내에 도전 브릿지(47)가 배치될 수 있다. 상기 도전 브릿지(47)는 상기 제2 전극(49)과 전기적으로 연결될 수 있다. 예를 들어, 상기 도전 브릿지(47)는 상기 제2 전극(49)과 직접 접촉할 수 있다. 상기 도전 브릿지(47)는 수 내지 수십 나노미터의 직경을 가질 수 있다. 상기 도전 브릿지(47)는 이동도(mobility)가 높은 금속 원자들을 포함할 수 있다. 예를 들어, 상기 도전 브릿지(47)는 구리(Cu), 은(Ag), 루테늄(Ru), 티타늄(Ti) 및 이리듐(Ir) 중 선택된 적어도 하나를 포함할 수 있다. 상기 도전 브릿지(47)는 상기 반도체 기판(110)에 수직한 방향으로 수 내지 수십 Å의 길이를 가질 수 있다.
상술한 바와 같이, 상기 전해질층(45)은 고체 전해질을 포함하므로, 상기 전해질층(45) 내에서의 상기 도전 브릿지(47) 내의 금속들은 큰 이동도로 이동할 수 있다. 이에 반면, 상기 전이금속 산화물층(44) 내에서의 상기 금속들의 이동도는 다소 떨어질 수 있다. 따라서, 상기 도전 브릿지(47)는 상기 전해질층(45)을 크게 벗어나지 않을 수 있다.
도시된 바와 달리, 도전 브릿지(48)는 상기 전이금속 산화물층(44) 내로 연장될 수 있다. 도 3을 참조하면, 상기 도전 브릿지(48)는 상기 전해질층(45)의 상부면으로부터 상기 전이금속 산화물층(44) 상부 내로 확장될 수 있다. 이 경우에도, 상기 도전 브릿지(48) 내의 금속들의 상기 전해질층(45) 내에서의 이동도가 상기 전이금속 산화물층(44) 내에서의 이동도보다 적으므로, 상기 도전 브릿지(48)가 상기 전이금속 산화물층(44)의 하부까지 확장되지는 않는다. 즉, 상기 도전 브릿지(48)는 상기 제1 전극(40)과 절연될 수 있다.
상기 제2 전극(49)은 이리듐(Ir), 백금(Pt), 루테늄(Ru) 및 텅스텐(W)을 포함하는 금속, 도전성 금속산화물, 질화티타늄(TiN) 및 질화탄탈륨(TaN)을 포함하는 도전성 금속질화물 및 도핑된 반도체 중 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 제2 전극(49)은 상기 제1 전극(40) 보다 산화력이 큰 도전성 물질을 포함할 수 있다. 즉, 상기 제2 전극(49)은 다른 물질을 산화시킬 수 있다. 상기 제2 전극(49)은 희유금속의 산화물, 예를 들어, 상기 제2 전극(49)은 산화이리듐(IrO) 및/또는 산화 루테늄(RuO)을 포함할 수 있다. 이에 의해, 저항 메모리 셀의 리셋 동작에서 상기 제2 전극(49)은 후술할 전이금속 산화물층(44) 내의 필라멘트의 일부를 용이하게 산화시킬 수 있다. 즉, 상기 필라멘트의 일부가 용이하게 소멸될 수 있다.
상기 제1 층간 절연막(120) 상에 상기 저항 요소(140)를 덮는 제2 층간 절연막(130)이 배치될 수 있다. 상기 저항 요소(140) 상에 상기 제2 층간 절연막(130)을 관통하는 제2 콘택(137)이 배치될 수 있다. 상기 제2 콘택(137)은 상기 제2 전극(49)과 전기적으로 연결될 수 있다. 상기 제2 콘택(137)은 상기 제2 층간 절연막(130) 상의 배선(139)과 전기적으로 연결될 수 있다. 도시된 바와 달리, 상기 제2 콘택(137)과 상기 배선(139)은 연결된 하나의 구조일 수도 있다.
상기 제1 전극(40)과 상기 제2 전극(49) 사이에 소정의 전압을 인가하는 경우, 상기 제1 전극(40)과 상기 제2 전극(49) 사이의 상기 전이금속 산화물층(44) 내에 필라멘트가 형성될 수 있다. 상기 필라멘트가 형성된 상기 전이금속 산화물층(44)을 포함하는 상기 저항 요소(140)는 상대적으로 낮은 저항값을 갖게 된다. 반면, 상기 필라멘트가 소멸되는 경우, 상기 저항 요소(140)은 상대적으로 높은 저항값을 갖게 된다. 본 명세서에서, 상기 필라멘트가 소멸된다는 것은, 상기 전이금속 산화물층(44) 내의 상기 제1 전극(40)과 상기 제2 전극(49)을 전기적으로 연결하는 필라멘트의 일부 또는 전부가 절연되는 것을 의미한다.
본 발명의 실시예들에 따른 저항 메모리 소자에 따르면, 상기 소정의 전압의 인가시 상기 전이금속 산화물층(44) 내에서 상기 필라멘트는 일정한 자리에서 생성되고 소멸될 수 있다. 구체적으로, 상기 제1 전극(40)과 상기 제2 전극(49) 사이에 전압이 인가될 때, 상기 필라멘트의 형성은 상기 전이금속 산화물층(44)과 상기 제2 전극(49)의 도전 브릿지(47)에 의해 유도될 수 있다. 또한, 상기 제2 전극(49)과 상기 전이금속 산화물층(44) 사이는, 상기 도전 브릿지(47)가 배치된 부분에서 선택적으로 연결될 수 있다. 따라서, 상기 도전 브릿지(47)가 형성된 위치에서 상기 필라멘트가 일정하게 생성되고 소멸될 수 있다. 이에 의해, 상기 저항 요소의 쓰기 및/또는 소거 동작시의 저항 산포가 감소될 수 있다. 이에 따라, 상기 저항 요소를 포함하는 저항 메모리 소자의 신뢰성이 향상될 수 있다.
상기 저항 요소의 구성요소들은 다른 형태로 배치될 수도 있다. 도 4를 참조하면, 반도체 기판(110)과 인접한 제1 층간 절연막(120) 상에, 제2 전극(49), 전해질층(41), 전이금속 산화물층(45) 및 제1 전극(40)이 차례로 적층되어 저항 요소(142)를 구성할 수도 있다.
저항 요소(142) 내의 전해질층(41) 및 도전 브릿지(43)는 제2 전극(49)에 인접하게 배치될 수 있다. 상기 제2 전극(49)은 상기 제1 전극(40) 보다 높은 산화력을 갖는 도전 물질, 예를 들어, 희유 금속의 산화물을 포함할 수 있다.
도 1a, 도 5a 내지 도 5c, 및 도 2를 참조하여, 본 발명의 일 실시예에 따른 저항 메모리 소자의 형성방법이 설명된다. 앞서 설명된 구성요소들에 대한 설명은 생략될 수 있다.
도 1a을 참조하면, 반도체 기판(110) 상에 게이트 유전막(123) 및 게이트 전극(125)이 차례로 형성될 수 있다. 상기 게이트 유전막(123)은 열산화 공정 또는 증착 공정에 의해 형성될 수 있다. 상기 게이트 전극(125)을 이온주입 마스크로 사용한 이온 주입공정을 수행하여, 상기 게이트 전극(125)의 양 측의 상기 반도체 기판(110) 내에 소오스/드레인 영역(113)이 형성될 수 있다. 상기 게이트 전극(125) 및 상기 소오스/드레인 영역(113)을 덮는 제1 층간 절연막(120)이 형성될 수 있다. 이 후, 상기 제1 층간 절연막(120)을 관통하되, 상기 소오스/드레인 영역(113)의 적어도 일부를 노출시키는 홀이 형성될 수 있다. 상기 홀을 도전성 물질로 채워 상기 제1 층간 절연막(120)을 관통하는 제1 콘택(127)이 형성될 수 있다.
도 5a를 참조하면, 상기 제1 층간 절연막(120) 상에 제1 전극(40)이 형성될 수 있다. 상기 제1 전극(40)은 상기 제1 콘택(127) 상에 형성될 수 있다. 상기 제1 전극(40)은 이리듐(Ir), 백금(Pt), 루테늄(Ru) 및 텅스텐(W)을 포함하는 금속, 질화티타늄(TiN) 및 질화탄탈륨(TaN)을 포함하는 도전성 금속화합물 및 도핑된 반도체 중 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 제1 전극(40)은 텅스텐, 질화티타늄 및 질화탄탈륨 중 선택된 적어도 하나를 포함할 수 있다.
상기 제1 전극(40) 상에 전이금속 산화물층(44)이 형성될 수 있다. 예를 들어, 상기 전이금속 산화물층(44)은 니켈(Ni), 티타늄(TiO), 바나듐(V), 철(Fe), 크롬(Cr), 코발트(Co), 하프늄(Hf) 및 탄탈륨(Ta) 중 선택된 적어도 하나의 산화물을 포함할 수 있다. 상기 전이금속 산화물층(44)는 수십 내지 수백 Å의 두께를 가질 수 있다.
도 5b를 참조하면, 상기 전이금속 산화물층(44) 상에 전해질층(45)이 형성될 수 있다. 예를 들어, 상기 전해질층(45)은 절연성 고체 전해질을 포함할 수 있다. 상기 전해질층(45)은 예를 들어, 산화실리콘(SiO2), 질화실리콘(SiN), 및 칼코겐 화합물 중 선택된 적어도 하나를 포함할 수 있다. 상기 전해질층(45)은 수 내지 수십 Å의 두께로 형성될 수 있다.
상기 전해질층(45) 상에 금속층(46)이 형성될 수 있다. 상기 금속층(46)은 이동도가 높은 금속을 포함할 수 있다. 예를 들어, 상기 금속층(46)은 구리(Cu), 은(Ag), 루테늄(Ru), 티타늄(Ti) 및 이리듐(Ir) 중 선택된 적어도 하나를 포함할 수 있다. 상기 금속층(46)의 형성 공정에서, 상기 금속의 일부가 상기 전해질층(45) 내로 이동될 수 있다. 이는 상기 금속층(46)의 형성 공정시 발생하는 공정열 및 상기 금속의 높은 이동도 에 기인할 수 있다. 상기 금속을 상기 전해질층(45) 내로 이동시키기 위해 상기 금속층(46) 상에 자외선 영역의 파장을 갖는 빛이 더 조사될 수 있다. 또는, 상기 금속층(46)이 형성된 상기 전해질층(45)에 열을 공급하여 상기 금속을 상기 전해질층(45) 내로 이동시킬 수 있다. 상기 빛의 조사 및 열 공급은 모두 수행되거나 선택적으로 수행될 수 있다.
도 5c를 참조하면, 상기 금속층(46)의 형성 및/또는 추가적인 공정(빛의 조사 및/또는 열 공급)에 의해, 상기 금속층(46) 내의 상기 금속들이 이온상태로 상기 전해질층(45) 내로 이동될 수 있다. 상기 전해질층(45)은 고체 전해질을 포함하므로, 상기 금속층(46)으로부터 상기 전해질층(45)으로 제공된 상기 금속 이온들이 상기 전해질층(45)으로부터 전자를 공급받아 환원될 수 있다. 이에 의해, 상기 전해질층(45) 내에는 상기 금속층(46) 내의 금속 원자들이 나열된 도전 브릿지(47)가 형성될 수 있다.
상기 도전 브릿지(47)의 일 단은 상기 전이금속 산화물층(44)과 접촉할 수 있다. 이와 달리, 상기 도전 브릿지(47)를 구성하는 상기 금속들이 더 이동하여 상기 도전 브릿지(47)는 상기 전이금속 산화물층(44)의 상부 내로 연장될 수도 있다.
다시 도 1a을 참조하면, 상기 금속층(46)이 제거될 수 있다. 상기 금속층(46)은 식각공정 및/또는 화학기계적 연마공정에 의해 제거될 수 있다. 이에 의해, 상기 전해질층(45)의 상부면이 노출될 수 있다. 이와 달리, 상기 금속층(46)의 제거 공정은 생략될 수 있다. 이 경우, 상기 금속층(46)은 수 Å의 두께로 얇게 형성되며, 저항 요소가 형성된 이후 소정의 전압을 인가하는 것에 상기 금속층(46) 내의 금속이 이동될 수 있다.
상기 전해질층(45) 상에 제2 전극(49)이 형성될 수 있다. 상기 제2 전극(49)은 상기 제1 전극(40) 보다 산화력이 큰 도전 물질을 포함할 수 있다. 예를 들어, 상기 제2 전극(49)은 상기 전이금속 산화물층(44)의 상부에 산소를 제공할 수 있다. 일 실시예에서, 상기 제2 전극(49)은 희유금속의 산화물을 포함할 수 있다.
상기 제2 전극(49)을 덮는 제2 층간 절연막(130)이 형성될 수 있다. 이후, 상기 제2 층간 절연막(130)을 관통하되 상기 제2 전극(49)가 접하는 제2 콘택(137)이 형성될 수 있다. 상기 제2 콘택(130)은 상기 제2 층간 절연막(130) 상의 배선(139)과 동시에 형성될 수 있다. 이와 달리, 상기 배선(139)은 상기 제2 층간 절연막(130) 상에 상기 제2 콘택(130)과 별도의 도전막을 형성한 후, 상기 도전막을 패터닝하여 형성될 수도 있다.
도 1a, 도 6a, 도 6b 및 도 4를 참조하여, 본 발명의 다른 실시예에 따른 저항 메모리 소자의 형성방법이 설명된다. 도 1a의 저항요소(140)는 도 4의 저항요소(142)로 대체될 수 있으며, 앞서 설명된 구성요소들에 대한 설명은 생략될 수 있다.
도 1a을 참조하면, 제1 층간 절연막(120) 상에 제2 전극(49)이 형성될 수 있다. 상기 제2 전극(49)은 후술할 제1 전극(40) 보다 산화력이 큰 도전 물질을 포함할 수 있다. 예를 들어, 상기 제2 전극(49)은 상기 전이금속 산화물층(44)의 상부에 산소를 제공할 수 있다. 일 실시예에서, 상기 제2 전극(49)은 희유금속의 산화물을 포함할 수 있다.
상기 제2 전극(49) 상에 전해질층(41)이 형성될 수 있다. 상기 전해질층(41)은 고체 전해질을 포함할 수 있다. 예를 들어, 상기 전해질층(41)은 결함(defect)을 포함하는 절연물질을 포함할 수 있다. 상기 전해질층(41)은 비정질 상태의 절연물질을 포함할 수 있다.
상기 전해질층(41) 상에 금속층(42)이 형성될 수 있다. 상기 금속층(42)은 이동도가 높은 금속을 포함할 수 있다. 예를 들어, 상기 금속층(42)은 구리(Cu), 은(Ag), 루테늄(Ru), 티타늄(Ti) 및 이리듐(Ir) 중 선택된 적어도 하나를 포함할 수 있다. 상기 금속층(42)의 형성 공정에서, 상기 금속의 일부가 상기 전해질층(41) 내로 이동될 수 있다. 이는 상기 금속층(42)의 형성 공정시 발생하는 공정열 및 상기 금속의 높은 이동도 에 기인할 수 있다. 이와 달리, 상기 금속을 상기 전해질층(41) 내로 이동시키기 위해 상기 금속층(42) 상에 자외선 영역의 파장을 갖는 빛이 더 조사될 수 있다. 또는, 상기 금속층(42)이 형성된 상기 전해질층(45)에 열을 공급하여 상기 금속을 상기 전해질층(41) 내로 이동시킬 수 있다. 상기 빛의 조사 및 열 공급은 모두 수행되거나 선택적으로 수행될 수 있다.
다시 도 1a 및 도 4를 참조하면, 상기 금속층(42)이 제거될 수 있다. 제거되는 금속층(42)은 일부 금속이 상기 전해질층(41) 내로 이동한 후 상기 전해질층(41) 상에 잔류하는 금속층(42)일 수 있다. 상기 금속층(42)은 화학기계적 연마공정에 의해 제거될 수 있다. 상기 금속층(42)의 제거에 의해 상기 전해질층(41)의 상부면이 노출될 수 있다.
상기 노출된 전해질층(41) 상에 전이금속 산화물층(44)이 형성될 수 있다. 이후, 상기 전이금속 산화물층(44) 상에 제1 전극(40)이 형성될 수 있다. 일 실시예에서, 상기 제1 전극(40)은 이리듐(Ir), 백금(Pt), 루테늄(Ru) 및 텅스텐(W)을 포함하는 금속, 질화티타늄(TiN) 및 질화탄탈륨(TaN)을 포함하는 도전성 금속화합물 및 도핑된 반도체 중 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 제1 전극(40)은 텅스텐, 질화티타늄 및 질화탄탈륨 중 선택된 적어도 하나를 포함할 수 있다.
40: 제1 전극
41, 45: 전해질층
47, 48: 도전 브릿지
49: 제2 전극

Claims (10)

  1. 반도체 기판 상의 제1 전극과 제2 전극;
    상기 제1 전극과 상기 제2 전극 사이의 전이금속 산화물층;
    상기 제2 전극과 상기 전이금속 산화물층 사이의 전해질층; 및
    상기 전해질층 내의 상기 제2 전극과 전기적으로 접하는 일 단을 포함하는 도전 브릿지를 포함하되,
    상기 도전 브릿지는 루테늄(Ru), 티타늄(Ti) 및 이리듐(Ir) 중 선택된 적어도 하나의 금속 원자를 포함하는 저항 메모리 소자.
  2. 청구항 1에 있어서,
    상기 전해질층은 비정질 절연물질을 포함하는 저항 메모리 소자.
  3. 청구항 2에 있어서,
    상기 전해질층은 비정질 산화실리콘 및/또는 비정질 질화실리콘을 포함하는 저항 메모리 소자.
  4. 청구항 1에 있어서,
    상기 전해질층은 칼코게나이드 화합물을 포함하는 저항 메모리 소자.
  5. 청구항 1에 있어서,
    상기 제1 전극 및 상기 제2 전극에 소정의 전압이 인가되는 경우, 상기 전이금속 산화물층 내에 필라멘트가 형성되되, 상기 필라멘트는 상기 도전 브릿지와 전기적으로 연결되는 저항 메모리 소자.
  6. 청구항 1에 있어서,
    상기 도전 브릿지는 상기 전이금속 산화물층과 접하는 타 단을 포함하는 저항 메모리 소자.
  7. 청구항 1에 있어서,
    상기 도전 브릿지는 상기 전이금속 산화물층 내로 연장되는 저항 메모리 소자.
  8. 청구항 1에 있어서,
    상기 제1 전극은 이리듐(Ir), 백금(Pt), 루테늄(Ru), 텅스텐(W), 질화티타늄(TiN), 질화탄탈륨(TaN), 및 도핑된 반도체 중 선택된 적어도 하나를 포함하는 저항 메모리 소자.
  9. 청구항 8에 있어서,
    상기 제2 전극은 희유 금속의 도전성 산화물을 포함하는 저항 메모리 소자.
  10. 반도체 기판 상에 제1 전극을 형성하는 것;
    상기 제1 전극 상에 전이금속 산화물층을 형성하는 것;
    상기 전이금속 산화물층 상에 전해질층을 형성하는 것;
    상기 전해질층 상에 금속층을 형성하는 것;
    상기 금속층 내의 금속을 상기 전해질층 내로 이동시켜 도전 브릿지를 형성하는 것; 및
    상기 전해질층 상에 상기 도전 브릿지와 전기적으로 연결되는 제2 전극을 형성하는 것을 포함하는 저항 메모리 소자 형성방법.
KR1020100011555A 2010-02-08 2010-02-08 저항 메모리 소자 및 그 형성방법 KR101699769B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100011555A KR101699769B1 (ko) 2010-02-08 2010-02-08 저항 메모리 소자 및 그 형성방법
US12/963,148 US8581364B2 (en) 2010-02-08 2010-12-08 Resistance memory devices and methods of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100011555A KR101699769B1 (ko) 2010-02-08 2010-02-08 저항 메모리 소자 및 그 형성방법

Publications (2)

Publication Number Publication Date
KR20110092092A KR20110092092A (ko) 2011-08-17
KR101699769B1 true KR101699769B1 (ko) 2017-01-25

Family

ID=44352962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100011555A KR101699769B1 (ko) 2010-02-08 2010-02-08 저항 메모리 소자 및 그 형성방법

Country Status (2)

Country Link
US (1) US8581364B2 (ko)
KR (1) KR101699769B1 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
JP5591676B2 (ja) * 2010-12-14 2014-09-17 株式会社東芝 半導体記憶装置
US8487293B2 (en) * 2010-12-30 2013-07-16 Micron Technology, Inc. Bipolar switching memory cell with built-in “on ”state rectifying current-voltage characteristics
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US8946669B1 (en) * 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
JP5687978B2 (ja) * 2011-09-14 2015-03-25 ルネサスエレクトロニクス株式会社 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の動作方法
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
KR101929941B1 (ko) 2012-08-10 2018-12-18 삼성전자 주식회사 저항 변화 물질 소자 및 이를 적용한 디바이스
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US8895402B2 (en) * 2012-09-03 2014-11-25 Globalfoundries Singapore Pte. Ltd. Fin-type memory
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US8921818B2 (en) * 2012-11-09 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure
KR101436748B1 (ko) * 2013-06-29 2014-09-02 고려대학교 산학협력단 고체 전해질 전극 구조물, 이의 형성 방법 및 이를 포함하는 저항 가변형 스위칭 소자
KR101505495B1 (ko) * 2013-11-14 2015-03-24 한양대학교 산학협력단 Cbram 소자 및 그 제조 방법
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
KR101521383B1 (ko) * 2014-03-12 2015-05-19 한양대학교 산학협력단 비휘발성 저항 스위칭 메모리 소자
EP3046155B1 (en) * 2015-01-15 2019-11-20 IMEC vzw Conductive bridging memory device with cation supply electrode comprising cu-si-te
US9831426B2 (en) 2015-05-12 2017-11-28 Iucf-Hyu CBRAM device and manufacturing method thereof
WO2018004588A1 (en) * 2016-06-30 2018-01-04 Intel Corporation Approaches for fabricating back end of line (beol)-compatible rram devices and the resulting structures
KR20180123915A (ko) * 2017-05-10 2018-11-20 고려대학교 산학협력단 투명하고 유연한 저항 변화 메모리 및 그 제조방법
CN110707211B (zh) * 2019-09-29 2023-02-17 西安理工大学 一种氧化铈忆阻器薄膜的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100647062B1 (ko) 2005-03-14 2006-11-23 고려대학교 산학협력단 금속 덴드라이트를 이용하여 상변화 재료층과의 접촉면적을감소시킨 상변화 메모리 소자 및 그 제조방법
US20080296551A1 (en) 2006-01-18 2008-12-04 Fujitsu Limited Resistance memory element and method of manufacturing the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791141B2 (en) * 2004-07-09 2010-09-07 International Business Machines Corporation Field-enhanced programmable resistance memory cell
US7208372B2 (en) * 2005-01-19 2007-04-24 Sharp Laboratories Of America, Inc. Non-volatile memory resistor cell with nanotip electrode
KR100790861B1 (ko) 2005-10-21 2008-01-03 삼성전자주식회사 나노 도트를 포함하는 저항성 메모리 소자 및 그 제조 방법
JP2009141225A (ja) * 2007-12-07 2009-06-25 Sharp Corp 可変抵抗素子、可変抵抗素子の製造方法、不揮発性半導体記憶装置
KR20090083094A (ko) 2008-01-29 2009-08-03 삼성전자주식회사 나노입자를 이용한 저항성 메모리 및 그 제조 방법
KR20090090563A (ko) * 2008-02-21 2009-08-26 삼성전자주식회사 저항 기억 요소를 포함하는 반도체 소자
KR20090126530A (ko) * 2008-06-04 2009-12-09 삼성전자주식회사 저항성 메모리 소자
KR100983175B1 (ko) * 2008-07-03 2010-09-20 광주과학기술원 산화물막과 고체 전해질막을 구비하는 저항 변화 메모리소자, 및 이의 동작방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100647062B1 (ko) 2005-03-14 2006-11-23 고려대학교 산학협력단 금속 덴드라이트를 이용하여 상변화 재료층과의 접촉면적을감소시킨 상변화 메모리 소자 및 그 제조방법
US20080296551A1 (en) 2006-01-18 2008-12-04 Fujitsu Limited Resistance memory element and method of manufacturing the same

Also Published As

Publication number Publication date
US8581364B2 (en) 2013-11-12
KR20110092092A (ko) 2011-08-17
US20110193051A1 (en) 2011-08-11

Similar Documents

Publication Publication Date Title
KR101699769B1 (ko) 저항 메모리 소자 및 그 형성방법
US7888228B2 (en) Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
KR101860508B1 (ko) 2 단자 저항성 스위칭 디바이스 구조 및 제조 방법
US9214628B2 (en) Nonvolatile memory element, nonvolatile memory device, and manufacturing method for the same
JP5794231B2 (ja) 半導体装置、および半導体装置の製造方法
KR100630437B1 (ko) 비휘발성 유기물 저항 메모리 장치 및 그 제조 방법
JP6344243B2 (ja) スイッチング素子、および半導体スイッチング装置の製造方法
US8927331B2 (en) Method of manufacturing nonvolatile memory device
JP5340508B1 (ja) 抵抗変化型不揮発性記憶装置及びその製造方法
US9478584B2 (en) Nonvolatile memory device and method for manufacturing the same
US8889478B2 (en) Method for manufacturing nonvolatile semiconductor memory element, and nonvolatile semiconductor memory element
JP6901686B2 (ja) スイッチング素子、半導体装置及びその製造方法
US8987695B2 (en) Variable resistance memory device and method for fabricating the same
WO2013136798A1 (ja) 抵抗変化素子、その抵抗変化素子を有する半導体装置、その半導体装置の製造方法およびその抵抗変化素子を用いたプログラミング方法
WO2010146850A1 (ja) 不揮発性記憶装置及びその製造方法
JP2009146943A (ja) 抵抗変化素子、これを用いた半導体記憶装置、及びそれらの作製方法
WO2016203751A1 (ja) 整流素子、スイッチング素子および整流素子の製造方法
JP6296054B2 (ja) 半導体装置およびその製造方法
JP2010040728A (ja) 半導体装置及びその製造方法
CN113557613A (zh) 非易失性存储装置及其制造方法
WO2018181019A1 (ja) 半導体装置およびその製造方法
KR100785032B1 (ko) 저항성 메모리 소자 및 그 제조방법
US20090159558A1 (en) Method of Manufacturing an Integrated Circuit
KR20090060610A (ko) 저항성 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant