KR101637694B1 - 스트레인 유도 기법을 포함하는 트랜지스터 및 그 형성방법 - Google Patents

스트레인 유도 기법을 포함하는 트랜지스터 및 그 형성방법 Download PDF

Info

Publication number
KR101637694B1
KR101637694B1 KR1020140139116A KR20140139116A KR101637694B1 KR 101637694 B1 KR101637694 B1 KR 101637694B1 KR 1020140139116 A KR1020140139116 A KR 1020140139116A KR 20140139116 A KR20140139116 A KR 20140139116A KR 101637694 B1 KR101637694 B1 KR 101637694B1
Authority
KR
South Korea
Prior art keywords
source
undoped
strain inducing
sige
region
Prior art date
Application number
KR1020140139116A
Other languages
English (en)
Other versions
KR20150096300A (ko
Inventor
츠즈-메이 콱
슈에 창 성
쿤 무 리
치이 홍 리
체 리앙 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150096300A publication Critical patent/KR20150096300A/ko
Application granted granted Critical
Publication of KR101637694B1 publication Critical patent/KR101637694B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

트랜지스터 디바이스는 반도체 기판의 채널 영역 위에 배치된 게이트 구조를 포함한다. 소스/드레인 리세스는 반도체 기판에 게이트 구조 옆에 배열된다. 도핑된 실리콘-게르마늄(SiGe) 영역이 소스/드레인 리세스 내에 배치되고, 채널의 도핑 형태와는 반대인 도핑 형태를 갖는다. 비도핑된 SiGe 영역이 또한 소스/드레인 리세스 내에 배치된다. 비도핑된 SiGe 영역은 도핑된 SiGe 영역의 밑에 있으며, 소스/드레인 리세스 내에 상이한 위치들에서 상이한 게르마늄 농도들을 갖는다.

Description

트랜지스터 스트레인 유도 기법{TRANSISTOR STRAIN-INDUCING SCHEME}
다음 개시는 반도체 제조 방법에 관한 것이다. 특히, 다음 개시는 반도체 디바이스에 컨택트를 형성하기 위한 방법에 관한 것이다.
반도체 산업은, 무어의 법칙에 따라 집적 칩(integrated chip; IC) 부품들의 최소 피쳐(feature) 크기를 조정함(scaling)으로써 집적 칩들의 성능을 지속하여 향상시켜왔다. 그러나, 최근, 일부 IC 부품들의 크기 조정이 점차 곤란해졌다. 크기 조정의 요구를 경감시키기 위하여, 반도체 산업은 집적 칩의 성능을 향상시키기 위한 다른 방도를 모색해왔다.
트랜지스터 디바이스의 성능을 향상시키기 위하여, 스트레인 공학이 자주 이용된다. 예컨대, 압축 응력을 PMOS 트랜지스터의 채널 영역으로 유도함으로써, 트랜지스터의 이동성 및 성능이 향상된다. 트랜지스터 성능을 향상시키기 위하여 스트레인 공학을 사용함으로써, 집적 칩 설계의 크기를 조정하려는(예컨대, 게이트 유전체 두께를 또한 감소시키려는) 요구가 경감된다.
본 개시의 태양은, 첨부된 도면과 함께 이해될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업 표준 관행에 따라, 다양한 피쳐들은 일정한 비례에 따라 도시된 것이 아님이 주목된다. 사실상, 다양한 피쳐들의 치수는 논의의 명료성을 위하여 임의로 증가되거나 축소될 수도 있다.
도 1은 스트레인 채널 트랜지스터 디바이스의 일부 실시예들의 단면도를 도시한다.
도 2는 스트레인 채널 트랜지스터 디바이스의 일부 다른 실시예들의 단면도를 도시한다.
도 3은 스트레인 채널 트랜지스터 디바이스의 형성 방법의 일부 실시예들의 흐름도를 도시한다.
도 4a 내지 도 4g는 일부 실시예들에 따른 스트레인 채널 트랜지스터 디바이스의 제조 방법의 예시적인 중간 단계들을 도시한다.
다음 개시는 주제의 상이한 피쳐들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 본 개시를 단순화하기 위하여 부품들과 배열들의 특정 예들이 이하에 설명된다. 물론 이들은 단지 예이며, 제한하고자 의도되지 않는다. 예컨대, 다음의 설명에서 제2 피쳐 위에 또는 제2 피쳐 상의 제1 피쳐의 형성은, 제1 및 제2 피쳐들이 직접 접촉하여 형성되는 실시예들을 포함할 수도 있고, 또한 제1 피쳐와 제2 피쳐 사이에 추가의 피쳐가 형성될 수도 있어서, 제1 및 제2 피쳐가 직접 접촉하지 않을 수도 있는 실시예들을 포함할 수도 있다. 추가로, 본 개시는 다양한 예들에서 도면 부호 및/또는 문자를 반복할 수도 있다. 이 반복은 단순성와 명료성을 위한 것이고, 그 자체로 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 나타내지 않는다.
여기서의 설명은 도면을 참조하여 행해지고, 도면 전체에 걸쳐 유사한 도면 번호가 유사한 요소를 지칭하도록 일반적으로 이용되며, 다양한 구조들은 반드시 비례대로 도시된 것은 아니다. 다음의 설명에서, 설명을 목적으로, 이해를 용이하게 하기 위하여 다수의 특정 상세들을 나타내었다. 그러나, 여기서 설명된 하나 이상의 태양들은 이들 특정 상세들의 덜한 정도로 실행될 수도 있다는 것은 당업자에게 명백할 수도 있다. 다른 경우들에서, 이해를 용이하게 하기 위하여 알려진 구조들 및 디바이스들은 블록도로 도시되었다.
스트레인 채널 MOSFET(metal-oxide-semiconductor field effect transistor; 금속 산화물 반도체 전계 효과 트랜지스터) 디바이스는 채널 영역의 대향 단부들에서 스트레인 유도 소스 및 드레인 영역들을 형성함으로써 형성될 수도 있다. 스트레인 유도 소스 및 드레인 영역들은, 기판 내에 소스 및 드레인 리세스들을 형성하고, 후속하여 소스 및 드레인 리세스들 내에 스트레인 유도 재료를 적층함으로써 형성된다. 예컨대, 실리콘 게르마늄(SiGe)과 같은 게르마늄 함유 재료가 p 채널 MOSFET의 소스 또는 드레인 리세스 내에 적층되어, 그 사이의 채널 영역을 향하여 측면 압축 응력을 제공할 수도 있다. 채널 영역에서의 이 측면 압축 응력은, 예시적인 p채널 MOSFET에서 다수 캐리어들인 홀(hole)들의 이동성을 향상시킨다. 유사하게는, 실리콘 탄화물(SiC)과 같은 탄소 함유 재료가, n채널 MOSFET에 대한 전자 이동성을 향상시키는 인장 스트레인을 유도하도록 이용될 수도 있다.
향상된 PMOS 기술 노드(예컨대, 28 nm 게이트 폭 이하)에서의 채널 이동도 향상에 대하여, 인 시츄(in-situ) 붕소 도핑 처리가, 도핑된 SiGe 소스/드레인 영역을 형성하기 위하여 SiGe의 에피택셜 성장 동안 수행될 수도 있다. SiGe 에피택시 동안의 인 시츄 붕소 도핑은 유리하게는, 계단 접합(abrupt junction), 작은 소스-드레인 저항, 및 작은 컨택트 저항을 제공한다. 이것은 또한, SiGe층들과 실리콘 기판들 간의 격자 불일치를 완화시킨다. 그러나, 불행히도, 붕소 도펀트는 도핑된 SiGe 소스/드레인 영역들로부터 디바이스의 채널 영역의 하부에까지 아웃 디퓨젼(out-diffusion)되어, 작은 피쳐 크기에 대하여 현저해지는 단채널 효과(short channel effect)를 유발할 수 있다.
이러한 단채널 효과가 발생하는 것을 제한하기 위하여, 본 개시는, 도핑된 스트레인 유도 소스/드레인 영역 및 밑에 있는 비도핑된 스트레인 유도 소스/드레인 영역을 갖는 트랜지스터 디바이스에 관한 것이며, 이들 영역 모두는 단일 소스/드레인 리세스 내에 배열된다. 비도핑된 스트레인 유도 영역은, 트랜지스터의 도핑된 스트레인 유도 소스/드레인 영역으로부터 채널 영역까지의 도펀트의 확산을 지연시킨다. 특히, 비도핑된 스트레인 유도 영역은, 스트레인 유도 성분의 상이한 농도들을 갖는 몇몇 상이한 영역들을 가질 수 있다. 스트레인 유도 성분의 상이한 농도들은, 도펀트가 관통하여 확산되는 정도를 제어할 수 있다. 예컨대, 비도핑된 스트레인 유도 영역이 SiGe(Ge는 스트레인 유도 성분임)로 제조되는 경우, Ge의 상이한 농도들은, 도핑된 SiGe 소스/드레인 영역으로부터 채널 영역에까지 붕소에 대한 상이한 확산율(diffusion rate)에 대응할 수 있고, 보다 낮은 게르마늄 농도는 증가된 붕소 아웃 디퓨젼에 대응하고, 보다 높은 게르마늄 농도는 감소된 붕소 아웃 디퓨젼에 대응한다. 그 결과로서, 스트레인 유도 성분 농도는, 향상된 캐리어 이동성을 위하여 채널에 적합한 응력을 여전히 유지하면서, 단채널 효과를 방지하기 위하여 비교적 깊은 소스/드레인 위치들에서 덜한 도펀트 확산을 제공하도록, 또한 비교적 얕은 소스/드레인 위치들에서 더한 도펀트 확산을 제공하도록 조정될 수 있다. 이들 기술은 n형 및 p형 트랜지스터들에 대하여 실행될 수 있으며, n형 및 p형 트랜지스터 모두 본 개시의 범위 내에 있는 것으로서 고려된다.
도 1은 스트레인 채널 트랜지스터 디바이스(100)의 일부 실시예들의 단면도를 도시한다. 게이트 구조(108)는 반도체 기판(102) 상에 배치된다. 다양한 실시예에서, 반도체 기판(102)은, 반도체 및/또는 이와 연관된 에피택셜층들의 임의의 다른 형태는 물론, 반도체 웨이퍼 및/또는 반도체 웨이퍼 상의 하나 이상의 다이와 같은 반도체 본체(예컨대, 실리콘, 실리콘-게르마늄, 실리콘-온-인슐레이터 등)의 임의의 형태를 구비할 수도 있다. 소스/드레인 리세스는, 예컨대 도면 부호 103이며, 게이트 구조(108)의 대향하는 에지들 옆에 형성된다. 소스/드레인 영역(104a)/(104b)은 소스/드레인 리세스 내에 형성되고, 도핑된 스트레인 유도 영역(110)과 비도핑된 스트레인 유도 영역(112)을 구비한다. 도핑된 스트레인 유도 영역(110)은, 예컨대 붕소로 도핑된, 예컨대 SiGe 영역을 구비할 수 있다. 비도핑된 스트레인 유도 영역(112)은, 예컨대 비도핑된 또는 고유의(intrinsic) SiGe를 구비할 수 있고, 소스/드레인 리세스 내에 도핑된 스트레인 유도 영역(110)의 밑에 있다.
비도핑된 스트레인 유도 영역(112)은 스트레인 유도 성분들의 상이한 농도들을 갖는 상이한 영역들을 포함할 수 있다. 제1 비도핑된 스트레인 유도층(112a)은, 밑에 있는 제2 비도핑된 스트레인 유도층(112b)의 제2 스트레인 유도 성분 농도보다 낮은 제1 스트레인 유도 성분 농도를 가질 수 있다. 제1 비도핑된 스트레인 유도층(112a)은 소스/드레인 리세스(103)의 상부 표면과 인접하는 상부 <111>면(118)을 갖고, 밑에 있는 제2 비도핑된 스트레인 유도층(112b)은 하부 <111>면(126)을 갖는다. 일부 실시예들에서, 소스/드레인 리세스(103)의 바닥면과 인접하는 <100>면(128)을 갖는 제3 비도핑된 스트레인 유도층(112c)이 있다. 제1 비도핑된 스트레인 유도층(112a)은, 낮은 스트레인 유도 성분 농도로, 트랜지스터(100)의 제1 도핑된 스트레인 유도 영역(110)으로부터 채널 영역(106)까지 보다 많은 붕소 확산을 도입한다. 제2 비도핑된 스트레인 유도층(112b)은, 보다 높은 스트레인 유도 성분 농도로, 제1 도핑된 스트레인 유도 영역(110)으로부터 채널 영역(106) 하부에 붕소 확산을 지연시켜, 단채널 효과가 감소된다.
일부 실시예에서, 게이트 구조(108)는, 게이트 유전체층(120), 게이트 전극층(122), 및 하드마스크층(124)을 포함하는 스택 구조를 포함할 수도 있다. 게이트 유전체층(120)은 반도체 기판(102) 상에 배치된다. 게이트 전극층(122)(예컨대, 폴리실리콘, 대체 금속 등)이 게이트 유전체층(120) 상에 배치되고, 하드마스크층(124)(예컨대, SiO2 또는 다른 유전체)가 게이트 전극층(122) 상에 배치된다. 일부 실시예에서, 사이드월 스페이서들(116)은 게이트 구조(108)의 대향측들에 위치된다. 사이드월 스페이서들(116)은, 스트레인 소스 및 드레인 영역들(104a)(104b)로부터 게이트 전극층(122)을 절연시키기 위하여 구성된다.
스트레인 소스/드레인 영역(104a/104b)은, 채널 영역(106) 상에 스트레인(예컨대 압축 응력 또는 인장 응력)을 유도하도록 구성된다.
일부 실시예들에서, 스트레인 유도 재료는 실리콘 게르마늄을 포함할 수도 있고, 스트레인 유도 성분은 게르마늄을 포함할 수도 있다. 이러한 실시예들에서, 게르마늄은, 게르마늄(Ge)와 실리콘(Si)으로 구성된 격자의 차이로 인하여, 채널 영역(106) 상에 스트레인을 유도하도록 구성된다. 다른 실시예들에서, 스트레인 유도 재료는, 예컨대 실리콘 탄화물(SiC)과 같은 대안 재료를 포함할 수도 있다.
일부 실시예에서, 스트레인 유도 재료(예컨대, SiGe)는, 스트레인 소스 및 드레인 영역들(104a)(104b)의 리세스 내에 배치된 복수의 구별된 에피택셜층들을 구비할 수도 있다. 일부 실시예들에서, 복수의 구별된 에피택셜층들 각각은, 인접한 층들 간의 교차점에서 불연속적인 상이한 스트레인 유도 성분 농도 프로파일들(예컨대, 게르마늄 농도 프로파일)을 가질 수도 있다.
스트레인 소스 및 드레인 영역들(104a)(104b)이 3층 영역들로서 스트레인 채널 트랜지스터 디바이스(100)에서 도시되었지만, 개시된 스트레인 소스 및 드레인 영역들(104a)(104b)은 그러한 층들에 제한되지 않는다는 것이 이해될 것이다. 다른 실시예들에서, 스트레인 소스 및 드레인 영역들(104a)(104b)은 부가적인 층들(예컨대, 제4 SiGe층, 제5 SiGe층 등)을 구비할 수도 있다.
도 2는, 스트레인 채널 트랜지스터 디바이스(200)의 일부 대안적인 실시예들의 단면도를 도시한다.
스트레인 채널 트랜지스터 디바이스(200)는, 반도체 기판(202) 상에 배치된 게이트 구조(208), 및 반도체 기판(202) 내에 다이아몬드형 또는 "V"형 캐비티를 제공하는 이방성 에칭 프로파일을 가지며, 반도체 기판(202)에서의 소스/드레인 리세스 내의, 게이트 구조(208)의 측을 따른 소스/드레인 영역(204)을 포함한다. "V"형 캐비티는, <111> 표면들(220a, 220b)의 옆에 있는 <100> 표면(222)을 구비한다.
소스/드레인 영역(204)은, 212a, 212b 및 212c와 같은 복수의 비도핑된 실리콘 게르마늄(SiGe)층들, 및 위에 덮인 도핑된 실리콘 게르마늄(SiGeB)층(210)을 구비한다. 제1 게르마늄 농도를 갖는 외부 비도핑된 SiGe층(212a)은 소스/드레인 리세스의 상부 표면과 인접하여 위치되고, 제2 게르마늄 농도를 갖는 하부 비도핑된 SiGe층(212c)은 하나 이상의 부가적인 비도핑된 SiGe층들 아래에 위치된다. 제3 게르마늄 농도를 갖는 내부 비도핑된 SiGe층(212b)은, 외부 비도핑된 SiGe층과 하부 비도핑된 SiGe층 사이에 위치된다. 외부 비도핑된 SiGe층은, 소스/드레인 리세스의 2개의 교차되는 <111>면 측 표면들(216, 218) 상에 배치되어, 팁 영역을 형성한다. 하부 비도핑된 SiGe층(212c)은, 여기서 바닥면으로서 총칭되는 <100> 표면(222) 상에 배치된다. 내부 비도핑된 SiGe층은, 외부 비도핑된 SiGe층과 하부 비도핑된 SiGe층 사이에 하부 <111>면 측 표면(220)과 <110>면 측 표면(230) 상에 배치된다. 비도핑된 SiGe층들(212)의 게르마늄 농도는, 제1 및 제2 게르마늄 농도가 제3 게르마늄 농도보다 낮다는 일정한 상이한 값들일 수 있다. 또는, 게르마늄 농도는 증가하거나, 감소하거나, 연속이거나 또는 불연속인 프로파일들일 수 있다. 게르마늄 농도 프로파일은 보다 양호한 성능을 달성하기 위하여 불연속일 수 있다. 예로서, 외부 및 하부 비도핑된 SiGe층들의 제1 및 제2 게르마늄 농도들은 약 5% 내지 약 25%의 범위일 수 있다. 내부 비도핑된 SiGe층의 제3 게르마늄 농도는 약 15% 내지 약 35%의 범위일 수 있다. 도핑된 SiGe층(210)의 게리마늄 농도는 약 35% 내지 약 70%의 범위일 수 있다. 트랜지스터 디바이스(200)는 비도핑된 순수 실리콘을 포함하는 실리콘 캡층(214)을 더 구비할 수 있고, 예컨대 게르마늄 농도를 갖는 SiGe 캡층은 약 35%보다 작다. 소스/드레인 리세스는 도면 부호 222와 같은 <100> 표면과, 도면 부호 220과 218과 같은 <111> 표면을 가지므로, 용어 '위에 덮다(overlying)'는 엄격하게 수직으로 위에 덮는 것에 제한되지 않는다는 것이 이해될 것이다. 예컨대, 용어 '위에 덮다'는 또한, <111> 표면에 수직인 선을 따라 위에 덮는 것(예컨대, 45°각을 따라 위에 덮는 것)을 의미할 수도 있다.
도 3은 스트레인 채널 트랜지스터 디바이스를 형성하는 방법(300)의 일부 실시예들의 흐름도를 도시한다.
개시된 방법들(예컨대, 방법들(300))이 일련의 동작들 또는 이벤트들로서 이하에 도시되고 설명되었으나, 그러한 동작들 또는 이벤트들의 설명된 순서는 제한적인 개념으로 해석되어서는 안되는 것이 이해될 것이다. 예컨대, 일부 동작들은 여기서 도시되고 및/또는 설명된 것 외의 다른 동작들 또는 이벤트들과는 상이한 순서로 및/또는 이들과 동시에 발생할 수도 있다. 또한, 하나 이상의 태양들 또는 여기의 설명의 실시예들을 구현하는 데 모든 설명된 동작들이 요구되지 않을 수도 있다. 또한, 여기서 설명된 동작들 중 하나 이상은 하나 이상의 구분된 동작들 및/또는 단계들에서 수행될 수도 있다.
302에서, 도 4a에 도시된 예로서, 반도체 기판 상에 게이트 구조가 형성된다. 다양한 실시예들에서, 반도체 기판은, 반도체의 임의의 다른 형태 및/또는 이것과 연관된 에피택셜층들은 물론, 반도체 웨이퍼와 같은 반도체 본체(예컨대, 실리콘, 실리콘-게르마늄, 실리콘-온-인슐레이터 등)의 임의의 형태, 및/또는 반도체 웨이퍼 상의 하나 이상의 다이를 구비할 수도 있다.
304에서, 도 4b에 도시된 예로서, 게이트 구조의 측을 따라 위치된 위치에서 반도체 기판 내인 소스/드레인 영역에서 임플란테이션(implantation)이 형성된다. 일부 실시예들에서, 포켓 임플란테이션과 선택적 저농도 도핑된 드레인(lightly doped drain; LDD) 임플란트가 연속하여 수행된다.
306에서, 도 4c 및/또는 도 4d에 도시된 예로서, 반도체 기판에 소스/드레인 영역 내에 소스/드레인 리세스가 형성된다. 일부 실시예들에서, 소스/드레인 리세스를 형성하기 위하여 복수의 에칭 처리가 사용될 수도 있다. 예컨대, 일부 실시예들에서, 반도체 기판 상에 등방성 에칭 처리가 수행되어, 반도체 기판에 리세스를 형성할 수도 있다. 다음, 이방성 에칭이 수행되어, V형 소스/드레인 리세스를 달성할 수도 있다.
308에서, 제1 비도핑된 스트레인 유도층이 소스/드레인 리세스의 상부 레벨에서 소스/드레인 리세스에 제1 비도핑된 스트레인 유도 성분 농도를 갖고 적층된다. 일부 실시예들에서, 스트레인 유도 성분은 게르마늄을 포함할 수도 있다.
일부 실시예들에서, 스트레인 유도 재료는, 복수의 구별되는 애피택셜층들로서 소스/드레인 리세스 내에 적층될 수도 있다. 일부 실시예들에서, 복수의 구별되는 에피택시얼층들은 동일한 처리 챔버 내에 인 시츄 적층될 수도 있다(즉, 처리 챔버로부터 반도체 기판을 제거하지 않고). 적층은, 다른 기술들 중에서, 물리적 기상 증착(Physical Vapor Deposition; PVD), 화학적 기상 증착(Chemical Vapor Deposition; CVD), 또는 에피택시에 의하여 적용될 수 있다. 310에서, 제2 비도핑된 스트레인 유도층은, 소스/드레인 리세스의 하부 레벨에서 소스/드레인 리세스에, 제1 스트레인 유도 성분 농도보다 높은 제2 스트레인 유도 성분 농도를 갖고 적층된다.
312에서, 도핑 재료를 포함하는 제3 성분 농도를 갖는 도핑된 스트레인 유도층이 적층되어 제1 비도핑된 스트레인 유도층과 제2 비도핑된 스트레인 유도층 위를 덮는다. 제3 성분 농도는 제1 또는 제2 스트레인 유도 성분 농도보다 높거나 낮을 수 있다.
314에서, 일부 실시예들에서 고온 어닐링이 적층 다층 SiGe층들과 동시에 수행될 수도 있다. 고온 어닐링은, 약 700℃ 내지 약 900℃의 범위의 온도에서 그리고 약 10 torr 내지 약 200 torr의 범위를 갖는 압력에서 실행되는 처리 챔버 내에서 약 30s 내지 약 240s의 시간 동안 수행될 수도 있다.
도 4a 내지 도 4g는, 스트레인 채널 트랜지스터 디바이스를 형성하는 방법을 도시하는 반도체 기판의 단면도의 일부 실시예들을 도시한다. 도 4a 내지 도 4g가 방법(300)에 관하여 설명되었지만, 도 4a 내지 도 4g에 개시된 구조가 이러한 방법에 제한되지 않는다는 것이 이해될 것이다.
도 4a에 도시된 바와 같이, 반도체 기판(402) 상에 게이트 구조가 형성된다. 게이트 구조는, 반도체 기판(402) 상에 선택적으로 형성된 게이트 유전체층(420)을 포함한다. 게이트 유전체층(420)은, 적층 처리(예컨대 화학적 기상 증착, 물리적 기상 증착 등)에 의하여 또는 열적 산화에 의하여 형성될 수도 있다. 일부 실시예들에서, 게이트 유전체층(420)은, 예컨대 이산화규소(SiO2) 또는 하이 k 유전체 재료와 같은 절연 물질을 포함할 수도 있다.
게이트 유전체층(420) 위에 게이트 전극층(422)이 형성된다. 게이트 전극층(422)은, 적층 처리에 의하여 적층된 폴리실리콘 또는 금속 게이트 재료를 포함할 수도 있다. 다음, 게이트 전극층(422)과 게이트 유전체층(420) 위에 하드마스크층(424)이 선택적으로 형성된다. 게이트 유전체층(420)과 게이트 전극층(422)이 하드마스크층(424)에 따라 후속하여 에칭되어, 게이트 영역을 정의한다.
도 4b에 도시된 바와 같이, 반도체 기판(402)에 도펀트를 도입하기 위하여 하나 이상의 임플란테이션(502)이 수행되어, 게이트 구조들 사이에 배치된 임플란테이션 영역들(504)을 형성한다. 일부 실시예들에서, 하나 이상의 임플란테이션들(502)은 포켓 임플란테이션을 포함할 수도 있다. 포켓 임플란테이션 영역들은, 스택 게이트 구조의 밑에 있는 위치들까지 연장될 수도 있다. 포켓 임플란테이션은 트랜지스터 디바이스의 제어를 통하여 안티 펀치(anti-punch)를 향상시킨다. 다양한 실시예들에서, 포켓 임플란테이션은 비소(As) 도펀트 및/또는 인(P) 도펀트를 포함할 수도 있다. 일부 실시예들에서, 포켓 임플란테이션은, 약 20 KeV(킬로일렉트론 볼트) 내지 약 80 KeV의 범위를 갖는 에너지에서, 약 1e12 atoms/cm2 내지 약 1e14 atoms/cm2의 범위를 갖는 도우즈(dose)로, 약 15°내지 약 45°의 범위를 갖는 틸트각에서 수행될 수도 있다.
다른 실시예들에서, 하나 이상의 임플란테이션들(502)은 반도체 기판(402) 상에 수행된 저농도 도핑된 드레인(LDD) 임플란테이션을 포함할 수도 있다. LDD 임플란테이션은 SCE(short channel effects; 단채널 효과) 제어를 향상시킨다. 다양한 실시예들에서, LDD 임플란테이션은, 보론 다이플로라이드(BF2) 도펀트, 또는 붕소(B) 도펀트를 포함할 수도 있다. LDD 임플란테이션은, 약 1 KeV 내지 약 10 KeV의 범위를 갖는 에너지에서, 약 1e13 atoms/cm2 내지 약 1e16 atoms/cm2의 범위를 갖는 도우즈로, 약 0°내지 약 30°의 범위를 갖는 틸트각에서 수행될 수도 있다.
도 4c에 도시된 바와 같이, 반도체 기판(402)은 선택적으로 에칭되어 소스 및 드레인 리세스들(508a, 508b)을 형성한다. 일부 실시예들에서, 소스 및 드레인 리세스들(508a, 508b)은 멀티 에칭 처리에 의하여 형성될 수도 있다. 반도체 기판(402)은, 등방성 에칭 프로파일(예컨대, U형 에칭 프로파일)을 갖는 리세스들(508a, 508b)을 생성하도록 구성된 등방성 에천트(506)에 노출될 수도 있다. 일부 실시예들에서, 등방성 에천트(506)는 건식 에천트를 포함할 수도 있다. 예컨대, 일부 실시예들에서, 등방성 에천트(506)는, 테트라플루오로메탄(CH4), 염소 가스(Cl2), 삼불화질소(NF3), 육불화황(SF6), 및/또는 헬륨(He)을 포함하는 처리 가스를 사용하는 건식 에천트를 포함할 수도 있다.
도 4d에 도시된 바와 같이, 반도체 기판(402)은 이방성 에천트(510)에 또한 노출될 수도 있다. 이방성 에천트(510)는 리세스들(508a, 508b)을 더 에칭하여, 이방성 에칭 프로파일을 갖는 소스 및 드레인 리세스들(404a, 404b)을 생성한다. 일부 실시예들에서, 이방성 에천트(510)는 습식 에천트를 포함할 수도 있다. 예컨대, 이방성 에천트(510)는 테트라메틸암모니움 하이드록사이드(TMAH)를 포함할 수도 있다. TMAH는, 약 110도 내지 약 140도의 틸트각을 갖는 'V'형 또는 다이아몬트형 리세스를 형성하기 위하여 <111>면을 생성한다. 일부 실시예들에서, 반도체 기판(402)은, 약 20℃ 내지 약 100℃의 온도에서 행해지는 처리 챔버 내에서 1~30% 사이의 농도를 갖는 수용액을 포함하는 TMAH 에천트에 노출되어, 약 300Å 내지 약 1000Å의 리세스 깊이를 형성할 수도 있다.
일부 실시예들에서, 사이드월 스페이서들(416)은, 소스 및 드레인 리세스들(404a, 404b)의 형성 전에 게이트 구조의 대향측들 상에 형성될 수도 있다. 일부 실시예들에서, 사이드월 스페이서들(416)은, 반도체 기판(402) 상에 질화물을 적층시키고, 이 질화물을 선택적으로 에칭하여 사이드월 스페이서들(416)을 형성하는 것으로 형성될 수도 있다.
도 4e 내지 도 4g에 도시된 바와 같이, 다층 SiGe 성장 처리는 소스 및 드레인 리세스들(404a, 404b) 내에 스트레인 유도 SiGe 재료를 적층시키기 위하여 수행된다. 일부 실시예들에서, 세정전(pre-clean) 처리가 수행되어, 소스 및 드레인 리세스들 내에 실리콘-게르마늄(SiGe) 재료의 적층 전에, 소스 및 드레인 리세스들로부터 표면 결함들 및/또는 오염물을 제거한다. 일부 실시예들에서, 세정전 처리는, 습식 세정 처리(예컨대, 불산(HF) 기저를 갖는) 또는 건식 세정 처리(예컨대, 프리-Ni 실리사이드(SiCoNi) 또는 세르타스(Certas)를 사용하는)를 포함할 수도 있다.
도 4e에 도시된 바와 같이, 제1 비도핑된 SiGe층(412a) 및 바닥 비도핑된 SiGe층(412c)이 소스 및 드레인 리세스들(404a, 404b)의 팁 영역 및 바닥 영역에 적층된다. 팁 영역은 2개의 측면들과 <111>면들의 교차점을 구비한다. 바닥 영역과 팁 영역에서의 제1 에피택셜 레이트는, <111>면을 갖는 측면을 갖는 사이드월 영역에서의 제2 에피택셜 레이트보다 빠르다. 일부 실시예들에서, 제1 비도핑된 SiGe층은 약 2-10 nm의 두께를 갖고, 바닥 비도핑된 SiGe층은 약 5-15 nm의 두께를 갖는다. 비교적 낮은 게르마늄 농도가 형성된다. 제1 Ge/Si 가스 흐름비가 적층 동안 적용된다. 예컨대, 약 0.001 내지 약 0.01의 Ge/Si의 가스 흐름비가 적용될 수 있다.
도 4f에 도시된 바와 같이, 제2 비도핑된 SiGe층(412b)은 제1 비도핑된 SiGe층과 바닥 비도핑된 SiGe층 위에 적층된다. 제2 영역은 <111>면을 갖는 측면을 갖는 사이드월 영역을 구비한다. 특히, 제2 비도핑된 SiGe층의 성장은, 제1 비도핑된 SiGe층 및 바닥 비도핑된 SiGe층의 성장보다 느리다. 일부 실시예에서, 제2 비도핑된 SiGe층은 약 5-15 nm의 두께를 갖는다. 비교적 높은 게르마늄 농도가 형성된다. 제1 Ge/Si 가스 흐름비보다 큰 제2 Ge/Si 가스 흐름비에 의하여 구현될 수 있다. 예컨대, 약 0.05 내지 약 0.05의 Ge/Si의 가스 흐름비가 적용될 수 있다.
도 4g에 도시된 바와 같이, 붕소로 도핑된 도핑된 SiGe층(410)이 제2 비도핑된 SiGe층 상에 적층된다. 이것은 기판의 상부 표면보다, 예컨대 약 0-15 nm 더 높게 성장될 수 있다. 일부 실시예들에서, 약 5-15 nm의 부가적인 Si 또는 SiGe 캡층이 SiGe층 상에 이후에 형성될 수 있다.
여기서 설명된 방법들의 태양들의 논의시 본 문서 전체에 걸쳐 예시적인 구조들에 대하여 참조하지만, 이들 방법들은 제시된 대응하는 구조들에 의하여 제한되지 않는다는 것이 이해될 것이다. 오히려, 방법들(및 구조들)은 서로 독립적인 것으로 고려되어야 하고, 스탠드 얼론(stand alone)이 가능하며, 도면들에서 설명된 특정 태양들 중 임의의 태양을 고려하지 않고 실행된다. 부가적으로, 여기서 설명된 층들은, 스핀 온, 스퍼터링, 성장 및/또는 적층 기술 등으로와 같이, 임의의 적합한 방식으로 형성될 수 있다.
또한, 명세서 및 첨부된 도면들의 이해에 기초하여 등가의 변화 및/또는 수정이 당업자에게 일어날 수도 있다. 여기서의 개시는 그러한 모든 변화 및 수정을 포함하며, 일반적으로 그에 따라 제한하고자 함이 아니다. 예컨대, 여기서 제공된 도면들이 도시되고 특정 도핑 형태를 갖는 것으로 설명되었으나, 당업자에 의하여 이해되는 바와 같이, 대안적인 도핑 형태가 이용될 수도 있다는 것이 이해될 것이다.
또한, 몇몇 구현 중 하나만에 관하여 특정 특징 또는 태양이 개시될 수도 있었으나, 이러한 특징 또는 태양은, 원할 때, 다른 구현들의 하나 이상의 다른 특징들 및/또는 태양들과 병합될 수도 있다. 용어 "포함하다", "갖는", "갖다", "함께", 및/또는 그 변형이 여기서 사용되는 경우에서, 이러한 용어들은 "구비한다"와 같은 의미에서 포괄적인 것으로 의도된다. 또한, "예시적인"이란, 최상인 것이라기 보다는, 예를 의미하는 것을 의미할 뿐이다. 또한, 여기서 설명된 특징들, 층들, 및/또는 요소들은 단순성과 이해의 용이성의 목적을 위하여 서로에 관하여 특정 치수들 및/또는 배향들을 갖는 것으로 설명되며, 실제 치수들 및/또는 배향들은 여기서 설명된 것과는 실질적으로 상이할 수도 있다는 것이 이해되어야 한다.
본 개시는, 보다 작은 스트레인 유도 성분 농도를 갖는, 얕은 레벨의 제1 스트레인 유도층, 보다 큰 스트레인 유도 성분 농도를 갖는, 보다 깊은 레벨의 밑에 있는 제2 스트레인 유도층, 및 부가적인 도핑층을 구비하는 스트레인 소스/드레인 영역을 갖는 트랜지스터 디바이스에 관한 것이다.
일부 실시예들에서, 본 개시는 트랜지스터 디바이스에 관한 것이다. 트랜지스터는, 반도체 기판 위에 배열된 게이트 구조를 포함한다. 소스/드레인 리세스는, 반도체 기판에서 게이트 구조 옆에 배열된다. 제1 스트레인 유도 영역이 소스/드레인 리세스 내에 배치되고, n형 또는 p형 도펀트 불순물로 도핑된 복합 반도체 재료로 제조된다. 제2 스트레인 유도 영역이, 제1 스트레인 유도 영역의 밑에 있도록 소스/드레인 리세스 내에 배치된다. 제2 스트레인 유도 영역은 비도핑된 형식으로 복합 반도체 재료로 구성된다. 복합 반도체 재료를 구성하는 성분들의 화학양론(stoichiometry)은, 제2 스트레인 유도 영역 내에서 상이한 위치들에서 상이하다.
다른 실시예들에서, 본 개시는 트랜지스터 디바이스에 관한 것이다. 트랜지스터 디바이스는, 반도체 기판의 채널 영역 위에 배치된 게이트 구조를 포함한다. 채널 영역은 제1 도핑 형태를 갖는다. 소스/드레인 리세스는 반도체 기판에서 게이트 구조 옆에 배열된다. 도핑된 실리콘-게르마늄(SiGe) 영역은 소스/드레인 리세스 내에 배치되고, 제1 도핑 형태와는 반대되는 제2 도핑 형태를 갖는다. 비도핑된 SiGe 영역이 소스/드레인 리세스 내에 배치되고, 도핑된 SiGe 영역의 밑에 있다. 비도핑된 SiGe 영역은 소스/드레인 리세스 내에 상이한 위치들에서 상이한 게르마늄 농도들을 갖는다.
또다른 실시예들에서, 본 개시는 트랜지스터 디바이스를 형성하는 방법에 관한 것이다. 본 방법은 반도체 기판 상에 게이트 구조를 형성하는 단계를 포함한다. 본 방법은, 게이트 구조의 측을 따라 위치된 위치에서 반도체 기판 내에 소스/드레인 리세스를 형성하는 단계를 더 포함한다. 본 방법은, 소스/드레인 리세스의 상부 레벨에서 소스/드레인 리세스에 제1 스트레인 유도 성분 농도를 갖는 제1 비도핑된 스트레인 유도층을 적층하는 단계를 더 포함한다. 본 방법은, 소스/드레인 리세스의 하부 레벨에서 소스/드레인 리세스에, 제1 스트레인 유도 성분 농도보다 높은 제2 스트레인 유도 성분 농도를 갖는 제2 비도핑된 스트레인 유도층을 적층하는 단계를 더 포함한다. 본 방법은, 제3 스트레인 유도 성분 농도를 갖는 도핑된 스트레인 유도층을 소스/드레인 리세스에 적층하는 단계를 더 포함한다.

Claims (10)

  1. 트랜지스터 디바이스로서,
    반도체 기판 상에 배치된 게이트 구조;
    상기 게이트 구조의 옆에 상기 반도체 기판에 배열된 V형 소스/드레인 리세스로서, 상기 소스/드레인 리세스는, 상기 반도체 기판의 상부 표면 아래에서 이격된 바닥 팁(bottom tip) 및 상기 바닥 팁의 대향하는 측면들 상에 있되 상기 반도체 기판의 상부 표면 아래에서 이격되는 최외부 에지들을 갖는 것인, 상기 소스/드레인 리세스;
    상기 소스/드레인 리세스 내에 배치되며, n형 또는 p형 도펀트 불순물들로 도핑된 복합 반도체 재료를 포함하는 도핑된 스트레인 유도 영역; 및
    상기 도핑된 스트레인 유도 영역의 하부에서 상기 소스/드레인 리세스 내에 배치되는 비도핑된 스트레인 유도 영역을 포함하고, 상기 비도핑된 스트레인 유도 영역은, 상기 소스/드레인 리세스의 바닥 팁 근처에서 제1 화학양론(stoichiometry)의 상기 복합 반도체 재료를 포함하되 상기 소스/드레인 리세스의 최외부 에지 근처에서 상기 제1 화학양론과 상이한 제2 화학양론의 복합 반도체 재료를 포함하는 것인, 포함하는 트랜지스터 디바이스.
  2. 제 1 항에 있어서, 상기 소스/드레인 리세스는 상이한 평면 배향들을 갖는 평면 표면들을 갖는 리세스 표면을 정의하고, 상기 비도핑된 스트레인 유도 영역은 상기 상이한 평면 표면들 각각의 근처에서 상이한 스트레인 유도 성분 농도들을 갖는 것인 트랜지스터 디바이스.
  3. 제 1 항에 있어서, 상기 복합 반도체 재료는 실리콘 게르마늄(SiGe)을 포함하는 것인 트랜지스터 디바이스.
  4. 제 1 항에 있어서, 상기 비도핑된 스트레인 유도 영역은,
    상기 소스/드레인 리세스의 상부 표면과 인접하는 상부 <111>면을 갖고, 제1 스트레인 유도 성분 농도를 갖는 제1 비도핑된 스트레인 유도층; 및
    하부 <111>면을 갖는 제2 비도핑된 스트레인 유도층
    을 포함하는 것인 트랜지스터 디바이스.
  5. 트랜지스터 디바이스로서,
    반도체 기판의, 제1 도핑 형태를 갖는 채널 영역 위에 배치된 게이트 구조;
    상기 게이트 구조의 옆에 상기 반도체 기판에 배열된 소스/드레인 리세스;
    상기 소스/드레인 리세스 내에 배치되며, 상기 제1 도핑 형태와는 반대인 제2 도핑 형태를 갖는 도핑된 실리콘-게르마늄(SiGe) 영역; 및
    상기 소스/드레인 리세스 내에 배치되며, 상기 도핑된 SiGe 영역의 하부에 있으며, 상기 소스/드레인 리세스 내의 상이한 위치들에서 상이한 게르마늄 농도들을 갖는 비도핑된 SiGe 영역을 포함하고,
    상기 비도핑된 SiGe 영역은,
    상기 소스/드레인 리세스의 상부 표면에 인접하며, 제1 게르마늄 농도를 갖는 외부 비도핑된 SiGe층;
    상기 소스/드레인 리세스의 바닥 표면과 인접하며, 제2 게르마늄 농도를 갖는 하부 비도핑된 SiGe층;
    상기 외부 비도핑된 SiGe층과 상기 하부 비도핑된 SiGe층 사이에서, 상기 제1 및 제2 게르마늄 농도들 각각보다 높은 제3 게르마늄 농도를 갖는 내부 비도핑된 SiGe층을 포함하는 것인, 트랜지스터 디바이스.
  6. 삭제
  7. 제 5 항에 있어서, 상기 도핑된 SiGe 영역에 전기적으로 연결되며, 그 도핑된 SiGe 영역 위에 배치되고, 비도핑된 실리콘을 포함하거나, 35%보다 작은 게르마늄 농도를 갖는 SiGe를 포함하는 캡층을 더 포함하는 트랜지스터 디바이스.
  8. 트랜지스터 디바이스의 형성 방법으로서,
    반도체 기판 상에 게이트 구조를 형성하는 단계;
    상기 게이트 구조의 측면을 따라 위치된 지점에서 상기 반도체 기판 내에 V형 소스/드레인 리세스 - 상기 소스/드레인 리세스는, 상기 반도체 기판의 상부 표면 아래에서 이격된 바닥 팁 및 상기 바닥 팁의 대향하는 측면들 상에 있되 상기 반도체 기판의 상부 표면 아래에서 이격되는 최외부 에지들을 가짐 - 를 형성하는 단계;
    상기 소스/드레인 리세스의 최외부 에지 근처에서 상기 소스/드레인 리세스에, 제1 스트레인 유도 성분 농도를 갖는 제1 비도핑된 스트레인 유도층을 적층시키는 단계; 및
    상기 소스/드레인 리세스의 바닥 팁 근처에서 상기 소스/드레인 리세스에, 상기 제1 스트레인 유도 성분 농도와는 상이한 제2 스트레인 유도 성분 농도를 갖는 제2 비도핑된 스트레인 유도층을 적층시키는 단계
    를 포함하는 트랜지스터 디바이스의 형성 방법.
  9. 제 8 항에 있어서, 상기 제1 비도핑된 스트레인 유도층은, 상기 제2 비도핑된 스트레인 유도층이 적층되는 제2 에피택시얼 성장률보다 빠른 에피택시얼 성장률로 적층되는 것인 트랜지스터 디바이스의 형성 방법.
  10. 제 8 항에 있어서, 상기 제1 비도핑된 스트레인 유도층을 적층시키는 데 사용되는 제1 Ge/Si 가스 흐름비는, 상기 제2 비도핑된 스트레인 유도층을 적층시키는 데 사용되는 제2 Ge/Si 가스 흐름비보다 작은 것인 트랜지스터 디바이스의 형성 방법.
KR1020140139116A 2014-02-14 2014-10-15 스트레인 유도 기법을 포함하는 트랜지스터 및 그 형성방법 KR101637694B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/180,490 2014-02-14
US14/180,490 US9287398B2 (en) 2014-02-14 2014-02-14 Transistor strain-inducing scheme

Publications (2)

Publication Number Publication Date
KR20150096300A KR20150096300A (ko) 2015-08-24
KR101637694B1 true KR101637694B1 (ko) 2016-07-07

Family

ID=53798851

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140139116A KR101637694B1 (ko) 2014-02-14 2014-10-15 스트레인 유도 기법을 포함하는 트랜지스터 및 그 형성방법

Country Status (4)

Country Link
US (3) US9287398B2 (ko)
KR (1) KR101637694B1 (ko)
CN (1) CN104851912B (ko)
TW (1) TWI543232B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8796788B2 (en) 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
US9761693B2 (en) * 2014-11-27 2017-09-12 United Microelectronics Corp. Method for fabricating semiconductor device
CN104851884A (zh) * 2015-04-14 2015-08-19 上海华力微电子有限公司 用于锗硅填充材料的成形腔
KR102374321B1 (ko) * 2015-10-14 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US9911849B2 (en) * 2015-12-03 2018-03-06 International Business Machines Corporation Transistor and method of forming same
WO2017111874A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Dual threshold voltage (vt) channel devices and their methods of fabrication
TWI695506B (zh) * 2016-07-05 2020-06-01 聯華電子股份有限公司 金氧半導體與形成方法
KR102443814B1 (ko) 2016-11-16 2022-09-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10096713B1 (en) 2017-06-12 2018-10-09 International Business Machines Corporation FinFET with sigma recessed source/drain and un-doped buffer layer epitaxy for uniform junction formation
CN109599337A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10297675B1 (en) * 2017-10-27 2019-05-21 Globalfoundries Inc. Dual-curvature cavity for epitaxial semiconductor growth
US10056455B1 (en) * 2017-11-01 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
CN109755297B (zh) * 2017-11-07 2021-09-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN107887430A (zh) * 2017-11-09 2018-04-06 重庆邮电大学 衬底施加单轴应力的硅锗异质结双极晶体管及其制造方法
US11869972B2 (en) 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
US10971499B2 (en) 2018-12-10 2021-04-06 Etron Technology, Inc. Unified micro system with memory IC and logic IC
CN109638068A (zh) * 2018-12-19 2019-04-16 上海华力集成电路制造有限公司 嵌入式锗硅结构及其制造方法
US11616128B2 (en) 2019-04-19 2023-03-28 Etron Technology, Inc. Transistor structure with reduced leakage current and adjustable on/off current
US11211491B2 (en) * 2019-07-24 2021-12-28 Nanya Technology Corporation Semiconductor memory structure having drain stressor, source stressor and buried gate and method of manufacturing the same
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
KR102501554B1 (ko) * 2020-10-08 2023-02-17 에트론 테크놀로지, 아이엔씨. 누설 전류가 감소되고 온/오프 전류를 조정할 수 있는 트랜지스터 구조체

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007329477A (ja) 2006-06-09 2007-12-20 Internatl Business Mach Corp <Ibm> 半導体構造体およびその形式、方法(多層埋込みストレッサを形成するための構造および方法)
JP2012089784A (ja) 2010-10-22 2012-05-10 Renesas Electronics Corp 半導体装置および半導体装置の製造方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222062A (en) 1976-05-04 1980-09-09 American Microsystems, Inc. VMOS Floating gate memory device
US4145703A (en) 1977-04-15 1979-03-20 Supertex, Inc. High power MOS device and fabrication method therefor
US4173765A (en) 1978-05-26 1979-11-06 Eastman Kodak Company V-MOS imaging array
US4222063A (en) 1978-05-30 1980-09-09 American Microsystems VMOS Floating gate memory with breakdown voltage lowering region
US4214312A (en) 1979-01-08 1980-07-22 American Microsystems, Inc. VMOS Field aligned dynamic ram cell
US4407058A (en) 1981-05-22 1983-10-04 International Business Machines Corporation Method of making dense vertical FET's
US4794283A (en) 1987-05-26 1988-12-27 Motorola, Inc. Edge sensitive level translating and rereferencing CMOS circuitry
JP4391069B2 (ja) 2002-04-30 2009-12-24 富士通マイクロエレクトロニクス株式会社 ヘテロバイポーラトランジスタおよびその製造方法
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
WO2005006444A1 (ja) 2003-07-11 2005-01-20 Matsushita Electric Industrial Co., Ltd. ヘテロバイポーラトランジスタおよびその製造方法
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
JP4837902B2 (ja) 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US7883979B2 (en) 2004-10-26 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device with reduced floating body effect
US7238580B2 (en) 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7494858B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7544577B2 (en) 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7608515B2 (en) 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US20070210448A1 (en) 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
JP2008177319A (ja) 2007-01-18 2008-07-31 Sony Corp 半導体装置の製造方法および半導体装置
JP5141029B2 (ja) * 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
JP2008218725A (ja) 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
US20080217686A1 (en) 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US8344447B2 (en) * 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
US7553717B2 (en) 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
JP2009043916A (ja) * 2007-08-08 2009-02-26 Toshiba Corp 半導体装置及びその製造方法
CN101572246B (zh) 2008-04-28 2011-11-30 中芯国际集成电路制造(北京)有限公司 电阻存储器、含有电阻存储器的集成电路的制作方法
US8679970B2 (en) 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
DE102009015748B4 (de) 2009-03-31 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
US8629426B2 (en) 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8796788B2 (en) * 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US20130069172A1 (en) 2011-09-16 2013-03-21 United Microelectronics Corp. Semiconductor device and method for fabricating the same
KR20130045716A (ko) 2011-10-26 2013-05-06 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US9111939B2 (en) 2012-07-27 2015-08-18 Intel Corporation Metallization of fluorocarbon-based dielectric for interconnects
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US8940594B2 (en) 2012-12-24 2015-01-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having v-shaped region
US9064893B2 (en) * 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9691868B2 (en) 2013-11-22 2017-06-27 Qualcomm Incorporated Merging lithography processes for gate patterning
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007329477A (ja) 2006-06-09 2007-12-20 Internatl Business Mach Corp <Ibm> 半導体構造体およびその形式、方法(多層埋込みストレッサを形成するための構造および方法)
JP2012089784A (ja) 2010-10-22 2012-05-10 Renesas Electronics Corp 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
KR20150096300A (ko) 2015-08-24
US20160155819A1 (en) 2016-06-02
TW201539528A (zh) 2015-10-16
US20170271478A1 (en) 2017-09-21
US20150236157A1 (en) 2015-08-20
US9991364B2 (en) 2018-06-05
CN104851912B (zh) 2018-07-17
CN104851912A (zh) 2015-08-19
US9698243B2 (en) 2017-07-04
TWI543232B (zh) 2016-07-21
US9287398B2 (en) 2016-03-15

Similar Documents

Publication Publication Date Title
KR101637694B1 (ko) 스트레인 유도 기법을 포함하는 트랜지스터 및 그 형성방법
US10515856B2 (en) Method of making a FinFET, and FinFET formed by the method
US9502530B2 (en) Method of manufacturing semiconductor devices
US10861971B2 (en) Doping profile for strained source/drain region
US8796788B2 (en) Semiconductor devices with strained source/drain structures
US9263549B2 (en) Fin-FET transistor with punchthrough barrier and leakage protection regions
US7413961B2 (en) Method of fabricating a transistor structure
US8889501B2 (en) Methods for forming MOS devices with raised source/drain regions
US9691901B2 (en) Semiconductor device
KR20150026712A (ko) 리세싱된 상부 표면을 갖는 소스 및 드레인 스트레서
US10319856B2 (en) Semiconductor device
KR102082630B1 (ko) 핀펫 및 그 제조 방법
US9847393B2 (en) Semiconductor device
US20120228628A1 (en) Semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190625

Year of fee payment: 4