KR101435736B1 - 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법 - Google Patents

웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법 Download PDF

Info

Publication number
KR101435736B1
KR101435736B1 KR1020127033331A KR20127033331A KR101435736B1 KR 101435736 B1 KR101435736 B1 KR 101435736B1 KR 1020127033331 A KR1020127033331 A KR 1020127033331A KR 20127033331 A KR20127033331 A KR 20127033331A KR 101435736 B1 KR101435736 B1 KR 101435736B1
Authority
KR
South Korea
Prior art keywords
group
photoresist
polymer
present
circuit
Prior art date
Application number
KR1020127033331A
Other languages
English (en)
Other versions
KR20130014610A (ko
Inventor
웨이 문 리
Original Assignee
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=35735160&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101435736(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 이케이씨 테크놀로지, 인코포레이티드 filed Critical 이케이씨 테크놀로지, 인코포레이티드
Publication of KR20130014610A publication Critical patent/KR20130014610A/ko
Application granted granted Critical
Publication of KR101435736B1 publication Critical patent/KR101435736B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • C11D2111/22
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/12Using specific substances
    • H05K2203/122Organic non-polymeric compounds, e.g. oil, wax, thiol

Abstract

상호접속부, 웨이퍼-수준 패키징 및 인쇄회로기판으로부터 포토레지스트, 중합체, 에칭 후의 잔류물, 산소 애슁 후 잔류물을 제거하기 위한 개선된 조성물 및 방법이 개시된다. 공정은 유효량의 유기 암모늄 화합물, 약 2 내지 약 20 중량%의 옥소암모늄 화합물, 임의적으로 유기 용매 및 물을 함유하는 혼합물과 상기 기재를 접촉시키는 것을 포함한다.
<색인어>
웨이퍼-수준 패키징, 인쇄회로기판, 포토레지스트 박리제, 유기 암모늄 화합물, 옥소암모늄 화합물

Description

웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법{COMPOSITIONS AND PROCESSES FOR PHOTORESIST STRIPPING AND RESIDUE REMOVAL IN WAFER LEVEL PACKAGING}
관련 출원에 대한 교차-참조
본 발명은 2004년 10월 29일자로 출원된, 발명의 명칭이 유사한 미국특허 가출원 제 60/623,190 호를 근거로 우선권을 주장하며, 본 발명과 동일한 날짜에 출원된, 통상적으로 양도된, 동시계류 중인, 발명의 명칭이 "웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 공정(COMPOSITIONS AND PROCESSES FOR PHOTORESIST STRIPPING AND RESIDUE REMOVAL IN WAFER LEVEL PACKAGING)"인 특허출원에 관한 것이며, 본 발명과 동일한 날짜에 출원된, 통상적으로 양도된, 동시계류 중인, 발명의 명칭이 "듀얼 다마신 시스템을 위한 제거 조성물(REMOVER COMPOSITIONS FOR DUAL DAMASCENE SYSTEM)"인 특허출원에 관한 것인데, 여기서 상기 문헌은 모두 본원에서 참고로 인용된다.
개시된 실시양태는 일반적으로 집적회로, 반도체 패키지 및 인쇄회로기판의 제작에 관한 것이다. 더욱 구체적으로는, 실시양태는 하부 기재 또는 물질의 손상 없이 중합체를 제거하고 에칭/애슁 잔류물을 세정하는 조성물 및 공정에 관한 것이다.
한편으로는 휴대용 및 무선 컴퓨터에 대한 최근 동향 및 다른 한편으로는 핸드폰 및 개인용 컴퓨터(PC)의 물품 가격으로 인해, 가격은 싸지만 높은 성능을 발휘할 수 있는 보다 소형의 집적회로(IC), IC 패키지 및 전자 제품에 대한 요구가 생겼다. 플립 칩 기술을 포함하는 웨이퍼-수준 패키징(WLP)이 이러한 요구를 충족시켜 준다.
WLP는 웨이퍼-수준에서 수행되는 IC 패키징이다. WLP에서는, IC 상호접속부들이 웨이퍼 상에 한꺼번에 제작되고, 다이싱(dicing) 전에 완전한 IC 모듈들이 웨이퍼 상에 구축될 수 있다. WLP를 사용할 때 얻어지는 이점은 예를 들면 I/O 밀도의 증가, 작동 속도의 개선, 전력 밀도 및 열 관리의 향상, 및 패키지 크기의 감소를 포함한다.
WLP에 있어 가장 중요한 점 하나는, 플립-칩 전도성 상호접속 범프를 웨이퍼 상에 구축하는 것이다. 이러한 상호접속 범프는 반도체 부품들을 인쇄회로기판에 전기적 및 물리적으로 접속시키는 역할을 한다. 반도체 소자 상에 상호접속 범프를 형성하는 여러가지 방법, 예를 들면 솔더 도금 범핑, 증발 범핑, 전도성 접착제 결합, 스텐실 인쇄 솔더 범핑, 스터드 범핑 및 볼 배치 범핑이 제시되었다. 이러한 기술들 중에서, 미세한 피치 어레이를 형성하기에 가장 비용-효과적인 기술은, 일시적 포토레지스트 도금 마스크와 전기도금의 조합을 포함하는 솔더 도금 범핑이라고 생각된다. 이러한 기술은 마이크로프로세서, 디지털 신호 프로세서 및 주문형 집적회로와 같은 고-부가가치 어셈블리를 위한 전-영역(full-area) 상호접속 범프 기술로서 빠르게 채택되고 있다.
WLP에서, 전위(front-end) IC 제작 및 후위(back-end) IC 어셈블리가 웨이퍼 주물에서 수행된다. 웨이퍼 제작 직후 및 시험 전에, 접속부들(예를 들면 솔더 범프)이 웨이퍼 상에 형성된다. 이어서 접속부들의 시험 및 번-인(burn-in)이 수행된 후, 패키징된 IC로 일체화된다. 플립 칩 기술은 IC 결합 패드 상의 전도성 범프를 이용하여 아래쪽을 향한 전기적 부품의 기재, 회로판 또는 캐리어에 대한 전기적 접속부를 형성한다.
WLP 공정 동안, 결합 패드 분배 및 솔더 범프 구축과 같이 웨이퍼 상에 패턴의 윤곽을 그리는데에는 포토리쏘그래피 단계가 요구된다. 포토리쏘그래피 공정은 포토레지스트의 박리 및 에칭 잔류물의 제거를 포함한다. 이러한 물질의 제거를 효과적으로 수행하지 못하면, 오염, 수율 손실, 시험 및 기판-수준 어셈블리에서 다운스트림(downstream) 문제, 및 현장에서의 신뢰도 하락이 초래될 수 있다.
포토레지스트는 통상적으로 아크릴 수지, 폴리비닐 신나메이트, 디아조 화합물, 페놀-포름알데히드 또는 기타 유사한 필름-형성 물질로 이루어진다. 포토레지스트는 각각 도 1 및 도 2에 도시된 바와 같이 웨이퍼 표면에 (예를 들면 라미네이션에 의해) 건식 도포되거나 (예를 들면 스핀 코팅에 의해) 습식 도포될 수 있다. 포토레지스트는 포토리쏘그래피 처리 동안에 자외선광에 의해 추가로 중합되거나 가교됨으로써, 경질의 내약품성 필름으로 된다.
반도체 웨이퍼 및 인쇄회로기판(PCB)의 제조에서, 기재는 포토레지스트로써 코팅된다. 포토레지스트는 화학 방사선에 노출되고, 노출된 또는 노출되지 않은 포토레지스트는 적합한 현상제에 의해 제거됨으로써 잔여 포토레지스트에 패턴을 형성하게 된다. 잔여 포토레지스트는 하부 기재의 피복된 영역을 보호한다. 노출된 영역은 (예를 들면 습식 에칭제 또는 플라스마 에칭을 사용하여) 에칭되거나, (예를 들면 스퍼터링, 화학적 증착, 전기화학적 도금 또는 무-전극 도금을 통해) 그 위에 침착(deposition)된 추가의 물질을 갖게 된다. 반도체 웨이퍼 기재는 그것의 표면 상에 노출된 규소, 산화물, 규소의 질화물, 저-k 유전체 또는 금속(예를 들면 구리, 알루미늄, 텅스텐, 니켈, 주석, 납, 은, 금 또는 합금)을 포함할 수 있다. PCB는 이러한 많은 동일한 물질을 포함할 수 있다. 추가로 레지스트 및 플럭스와 같은 유기 물질이 WLP에서 범프 형성(예를 들면 구리 후-전기도금 및 솔더 패이스트 범핑)을 위해 사용된다.
에칭 또는 침착 후, 잔여 포토레지스트는 제거되어야 한다. 기재 상에 남아있는 물질을 제거하기란 더욱 어렵다. 포토레지스트만을 제거하고, 임의의 기타 물질을 에칭 또는 부식시키지 않거나 박리제 또는 포토레지스트로부터 임의의 잔류물을 남겨두는 것이 도전과제이다. 이러한 방식으로 선택적으로 작용하는 박리제, 즉 처리된 웨이퍼 또는 PCB에서 기타 노출된 물질을 공격하지 않고서 포토레지스트를 박리 또는 제거하는 박리제를 찾기란 어려운 일이다. 추가의 처리 및 제품의 전기적 작동에 영향을 주지 않는 허용가능한 수준의 부식을 달성하는 박리제가 필요하다.
통상적인 포토레지스트 조성물 및 공정은, 부분적으로는 WLP 공정에서 사용되는 높은 솔더 재유동 온도(예를 들면 150 내지 400 ℃) 및 두꺼운 레지스트 두께 때문에, WLP 공정에서 사용되기 어렵다. 따라서, WLP를 위한 신규한 포토레지스트가 개발되어 왔는데, 이것은 또한 포토레지스트 박리 및 잔류물 제거를 위한 신규한 조성물 및 공정을 필요로 한다.
메틸-2-피롤리돈(NMP) 단독 및 NMP와 알칸올아민으로부터 제조된 포토레지스트 박리제, 예를 들면 베이커(Baker)의 PRS100, 클라리안트(Clariant)의 AZ400T 및 EKC의 EKC830은 WLP에서 효과적이지 않은데, 왜냐하면 WLP의 공정 조건은 포토레지스트에 영향을 주어, 예를 들면 가교 및 외피형성(encrustation)을 초래해, 포토레지스트를 제거하기 어렵게 만들어서, 이러한 포토레지스트의 박리를 예측불가능하게 만들기 때문이다. 통상적인 저밀도 PCB 공정에서 사용되는 박리제, 예를 들면 디메틸술폭사이드(DMSO)와 알칼리성 염기(예를 들면 NaOH 또는 KOH)는 WLP 패키징 및 고밀도 PCB 용도에는 적합하지 않은데, 왜냐하면 IC가 금속 이온으로 오염될 수 있기 때문이다. 웨이퍼-수준 상호접속부는 다이의 활성 영역 내에 위치해야 하기 때문에, 매우 높은 입력/출력(I/O) IC는 매우 작은 솔더볼 및 매우 조밀한 피치를 필요로 한다. 예를 들면, 도 1은 100 마이크로미터 피치를 갖는 30 마이크로미터 솔더볼의 어레이를 보여준다. 고밀도 PCB는 전형적으로 높은 I/O IC 요건을 충족시키기 위해서 조밀한 피치에서 작은 솔더볼을 위해 25 마이크로미터 이상의 포토리쏘그래피를 사용할 것을 요구한다. 솔더볼의 크기가 감소할수록, 솔더볼에서 믿을만한 전기적 접촉을 보호하는데 요구되는 미제거 포토레지스트의 양은 감소한다. 따라서 보다 작은 솔더볼을 위한 포토레지스트를 완전히 제거하여 필요한 성능을 제공하는 것이 점점 더 중요해지고 있다. 더욱이, IC 수준에 비해, WLP 및 PCB 용도를 위한 포토레지스트 층은 보다 두껍기 때문에(예를 들면 10X), 해당 분야의 숙련자가 IC에서 사용되는 박리제들 중 어떤 것이 WLP 및 PCB 용도에서 기능을 잘 수행할지를 예측하기란 어렵다. 더욱이 재침착 가능성 때문에 용해 공정보다는 리프트-오프(lift-off) 공정을 사용하여 포토레지스트를 제거하는 것은 덜 허용된다. 따라서, 리프트-오프에 비해 용해되는 포토레지스트의 양을 증가시키는 배합물에 대한 요구가 존재한다.
기재(예를 들면 유리, 세라믹, 플라스틱 필름 또는 에폭시-유리 라미네이팅된 기판) 상에 구리의 박층을 도금함으로써, PCB를 제조한다. 포토레지스트 마스킹 및 구리 에칭제 용액을 사용하여 회로 패턴을 구리층 내에 형성한다. 대안적으로, 패턴화된 포토레지스트 층 상에 구리를 도금함으로써, 회로 패턴을 형성할 수 있다. 어떤 경우에도, 노출된 고도로 가교된 포토레지스트를 PCB 기판으로부터 제거해야 한다. PCB로부터 포토레지스트를 제거하는 조성물 및 방법이 미국특허 제 3,650,969 호; 제 3,600,322 호; 제 3,147,224 호; 제 3,075,923 호; 제 4,269,724 호; 제 4,278,577 호; 제 3,789,907 호; 제 3,625,763 호; 제 3,813,309 호; 제 3,625,763 호; 제 4,483,917 호 및 제 4,592,787 호에 기술되어 있다. 여기에 개시된 대부분의 포토레지스트 박리제는 독성이 매우 강하고 발암 물질인 염화메틸렌을 함유한다.
통상적인 포토레지스트 박리제는 용매 및 알칼리성 염기를 함유한다. 박리 용도에서 사용되는 것으로 공지된 용매/알칼리성 염기 혼합물 유형의 포토레지스트 박리제의 예는 미국특허 제 4,770,713 호 및 제 4,403,029 호에 기술된 바와 같은 디메틸아세트아미드 또는 디메틸포름아미드 및 알칸올아민; 미국특허 제 4,428,871 호, 제 4,401,747 호 및 제 4,395,479 호에 기술된 바와 같은 2-피롤리돈, 디알킬술폰 및 알칸올아민; 및 미국특허 제 4,744,834 호에 기술된 바와 같은 2-피롤리돈 및 테트라메틸암모늄 히드록사이드를 포함한다. 미국특허 제 5,962,197 호에는 물 함량이 1% 미만인, 용매와 계면활성제와 0.1 내지 5 % 수산화칼륨의 혼합물을 사용하는, 포토레지스트 또는 솔더 마스크를 제거하기 위한 박리제가 기술되어 있다. 예를 들면 수산화칼륨은 구리 기재에 대한 바람직하지 못한 산화 효과를 발휘하는 반면에, 1% 미만의 물은 박리된 물질로 하여금 박리제에 덜 용해되거나 용해되지 않게 만든다. 미국특허 제 5,091,103 호에는 N-알킬-2-피롤리돈, 1,2-프로판디올 및 테트라알킬암모늄 히드록사이드를 포함하는 포토레지스트 박리제 조성물이 기술되어 있다. 미국특허 제 5,846,695 호에는 집적회로의 제작에서 포토레지스트 및 포토레지스트 잔류물의 제거를 위해 친핵성 아민 및 당 및/또는 당 알콜과 조합으로 콜린을 포함하는 4차 암모늄 히드록사이드의 수용액이 개시되어 있다. 그러나, 이러한 특허는 부식을 방지하기 위해 당 및/또는 당 알콜을 요구하지만, 본 발명은 낮은 부식 속도를 제공하면서도 당 및/또는 당 알콜을 요구하지 않는다. 유감스럽게도, 이러한 포토레지스트 박리제 뿐만 아니라 기타 수성 박리제는 경질의 소성된 포토레지스트를 완전히 제거하지 못하고, 특히 구리가 배선 물질로서 사용된 경우에, 하부 기재 야금속을 공격한다.
PCB 내 구리 배선들 사이의 거리가 감소할수록(또는 이와 동등하게, PCB 내의 피치(배선/거리)가 증가할수록), 통상적인 박리제는 비효과적이 되고 기재 상에 남아있는 포토레지스트의 양은 증가한다. 도금 분배를 제어하고 과도한 도금을 감소시키고 값비싼 미세-배선 PCB 상의 단락을 회피하기 위해서 완전한 레지스트 박리가 필요하다.
WLP 및 PCB 제조에서 이러한 문제를 해결하기 위해서, 신규한 포토레지스트 박리제 조성물 및 공정의 개발이 요구된다.
발명의 요약
본 발명은 특히 IC, 웨이퍼 기재 상의 WLP 회로 및 PCB로부터 중합체, 에칭 후의 잔류물 및 산소 애슁 후의 잔류물을 제거하기 위한 조성물 및 공정을 제공함으로써 전술된 한계 및 단점을 극복한다.
본 발명의 한 양태는, 유효량의 유기 암모늄 화합물, 약 2 내지 약 20 중량%의 옥소암모늄 화합물, 및 물을 함유하는 혼합물을 기재와 접촉시키는 것을 포함하는 방법을 포함한다. 유기 암모늄 화합물의 화학식은 다음과 같다.
Figure 112012106095985-pat00001
상기 식에서,
X는 히드록사이드, 술페이트, 히드로겐 술페이트, 포스페이트, 히드로겐 포스페이트, 디히드로겐 포스페이트, 니트레이트, 카르복실레이트(예를 들면 아세테이트, 벤조에이트, 카르바메이트, 포르메이트, 락테이트, 옥살레이트, 히드로겐 옥살레이트, 시트레이트, 히드로겐 시트레이트, 디히드로겐 시트레이트, 타르타레이트, 히드로겐 타르타레이트, 갈레이트(서브갈레이트), 신나메이트 등), 할라이드, 예를 들면 클로라이드, 플루오라이드, 요오다이드, 브로마이드 등, 카르보네이트, 히드로겐 카르보네이트(비카르보네이트), 비플루오라이드 등일 수 있고;
R1은 알킬기(예를 들면 메틸, 에틸, 프로필, 부틸 등) 또는 3차 아민과 유기 에폭시의 반응으로부터 유도된 기일 수 있고;
R2, R3 및 R4는 수소가 아니고, 독립적으로 알킬, 벤질, 히드록시알킬, 페닐, 3차 아민과 유기 에폭시의 반응으로부터 유도된 기, 또는 3차 아민 내에 함유된 또 다른 기일 수 있다.
옥소암모늄 화합물의 화학식은 다음과 같다.
Figure 112012106095985-pat00002
상기 식에서,
X는 히드록사이드, 술페이트, 히드로겐 술페이트, 포스페이트, 히드로겐 포스페이트, 디히드로겐 포스페이트, 니트레이트, 카르복실레이트(예를 들면 아세테이트, 벤조에이트, 카르바메이트, 포르메이트, 락테이트, 옥살레이트, 히드로겐 옥살레이트, 시트레이트, 히드로겐 시트레이트, 디히드로겐 시트레이트, 타르타레이트, 히드로겐 타르타레이트, 갈레이트(서브갈레이트), 신나메이트 등), 할라이드, 예를 들면 클로라이드, 플루오라이드, 요오다이드, 브로마이드 등, 카르보네이트, 히드로겐 카르보네이트(비카르보네이트), 비플루오라이드 등일 수 있고;
각각의 R5는 독립적으로 수소, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기 또는 이것의 염 또는 유도체일 수 있고;
각각의 R6 및 R7은 독립적으로 수소, 히드록실기, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기 또는 이것의 염 또는 유도체일 수 있다.
본 발명은 특히 IC, 웨이퍼 기재 상의 WLP 회로 및 PCB로부터 중합체, 에칭 후의 잔류물 및 산소 애슁 후의 잔류물을 제거하기 위한 조성물 및 공정을 제공함으로써 전술된 한계 및 단점을 극복한다.
본 발명의 전술된 양태 뿐만 아니라 추가적인 양태 및 실시양태를 보다 잘 이해하기 위해서, 하기 도면과 연계하여 "실시양태의 상세한 설명"을 참고해야 한다.
도 1a는 100 마이크로미터 피치를 갖는 30 마이크로미터 솔더 범프의 어레이를 보여준다.
도 1b는 상이한 크기의 솔더 범프를 보여준다.
도 2는 다양한 콜린 히드록사이드 농도에 대한 35℃에서의 노출 시간에 대한 구리 두께 손실분의 그래프이다.
도 3은 용액 B5 및 HAS를 함유하지 않는 필적할만한 용액에 대한, 35℃에서의 노출 시간에 대한 구리 두께 손실분(Å)의 그래프이다.
도 4a 및 4b는 박리 전후의 접촉점의 SEM이다.
도 5a 내지 5d는 박리 전후의 솔더 범프의 SEM이다.
도 6a 내지 6d는 헹굼 후 솔더 범프를 확대한 것을 보여준다.
도 7a 내지 7d는 헹굼 후 솔더 범프를 확대한 것을 보여준다.
도 8a 내지 8n은 박리 결과를 보여주는 SEM이다.
도 9a 내지 9g는 박리 결과를 보여주는 SEM이다.
도 10a 내지 10r은 박리 결과를 보여주는 SEM이다.
실시양태의 상세한 설명
특히 IC, WLP 및 PCB에서, 중합체 및 잔류물을 제거하기 위한 조성물 및 공정이 기술된다. 첨부된 표 및 도면에서 예시된 본 발명의 특정 실시양태가 참고될 것이다. 본 발명은 실시양태와 연계하여 기술되었지만, 이것이 본 발명을 이러한특정 실시양태에만 국한시키는 것은 아니라는 것을 알 것이다. 그 반대로, 본 발명은 첨부된 "청구의 범위"에 의해 정의된 본 발명의 개념 및 범주 내에 포함되는 대체양태, 개조양태 및 동등양태를 망라한다.
더욱이, 하기 설명에서, 수많은 구체적인 세부사항들이 본 발명의 충분한 이해를 돕기 위해 설명되어 있다. 그러나, 해당 분야의 숙련자라면, 본 발명을 이러한 특정 세부사항 없이도 실시할 수 있다는 것을 명백히 알 것이다. 다른 경우, 해당 분야의 숙련자들에게 잘 공지된 방법 및 공정은, 본 발명의 양태를 모호하게 만드는 것을 회피하기 위해서, 상세하게 기술되지 않는다.
기재로부터 중합체, 에칭 잔류물, 또는 이들 둘 다를 제거하는 방법의 한 예는, 유효량의 유기 암모늄 화합물과 유효량의 하나 이상의 옥소암모늄 화합물과 물과 임의적으로 유기 용매를 함유하는, 본 발명에 따르는 조성물을, 전형적으로 회로 또는 회로의 일부를 포함하는 기재(예를 들면 WLP 또는 PCB 기재)와 접촉시키는 것을 포함한다.
유리하게는, 본 발명에 따르는 조성물은 기재로부터 중합체, 에칭 잔류물, 또는 이들 둘 다를 제거할 수 있으면서도, 기재와 결합된 회로 또는 회로의 일부의 운용성(operability)을 유지할 수 있다. 예를 들면 이는, 제거로 인해 FT-IR 스펙트럼, 유전상수, 굴절률(RI) 또는 이러한 물질의 사용 후 두께가 크게 변하지 않을 경우에, 달성될 수 있다.
유기 암모늄 화합물은 하기 화학식을 갖는다.
Figure 112012106095985-pat00003

상기 식에서,
X는 히드록사이드, 술페이트, 히드로겐 술페이트, 포스페이트, 히드로겐 포스페이트, 디히드로겐 포스페이트, 니트레이트, 카르복실레이트(예를 들면 아세테이트, 벤조에이트, 카르바메이트, 포르메이트, 락테이트, 옥살레이트, 히드로겐 옥살레이트, 시트레이트, 히드로겐 시트레이트, 디히드로겐 시트레이트, 타르타레이트, 히드로겐 타르타레이트, 갈레이트(서브갈레이트), 신나메이트 등), 할라이드, 예를 들면 클로라이드, 플루오라이드, 요오다이드, 브로마이드 등, 카르보네이트, 히드로겐 카르보네이트(비카르보네이트), 비플루오라이드 등일 수 있고;
R1은 알킬기(예를 들면 메틸, 에틸, 프로필, 부틸 등) 또는 3차 아민과 유기 에폭시의 반응으로부터 유도된 기일 수 있고;
R2, R3 및 R4는 수소가 아니고, 독립적으로 알킬, 벤질, 히드록시알킬, 페닐, 3차 아민과 유기 에폭시의 반응으로부터 유도된 기, 또는 3차 아민 내에 함유된 또 다른 기일 수 있다.
유기 암모늄 화합물의 추가의 예는, 벤질트리메틸암모늄 히드록사이드, 디메틸디에틸암모늄 히드록사이드, 에틸트리메틸암모늄 히드록사이드, 메틸트리에틸암모늄 히드록사이드, 테트라부틸암모늄 히드록사이드, 테트라에틸암모늄 히드록사이드, 테트라메틸암모늄 히드록사이드, 테트라프로필암모늄 히드록사이드 등, 및 이들의 조합을 포함하지만 여기에만 국한되는 것은 아니다.
몇몇 실시양태에서, 유기 암모늄 화합물은, 유기 암모늄 히드록사이드 화합물을 형성하는, 화학식이
Figure 112012106095985-pat00004
(여기서 R8은 수소 또는 알킬, 방향족 등일 수 있음)인 유기 에폭시와 화학식이
Figure 112012106095985-pat00005
인 3차 아민의 반응의 생성물이다. 히드록사이드 화합물과 다양한 산을 반응시켜 상응하는 유기 암모늄 염을 형성함으로써, 기타 유기 암모늄 화합물을 유도할 수 있다.
유기 에폭시의 예는 에틸렌 옥사이드, 1,2 에폭시프로판, 1,2 에폭시부탄, 1,2 에폭시시클로도데칸, 1,2 에폭시시클로펜탄, 1,2 에폭시데칸, 1,2 에폭시-9-데켄, 1,2 에폭시에틸벤젠, 1,2 에폭시헥산, 1,2 에폭시-3-메틸부탄, 1,2 에폭시노난, 1,2 에폭시옥타데칸, 1,2 에폭시옥탄, 1,2 에폭시펜타데칸, 1,2 에폭시펜탄, 1,2 에폭시-3-페녹시프로판, 1,2 에폭시테트라데칸, 1,2 에폭시트리데칸, 1,2 에폭시운데칸 등, 및 이들의 조합을 포함하지만 여기에만 국한되는 것은 아니다.
3차 아민의 예는 트리메틸아민, 트리에틸아민, 트리벤질아민, 트리부틸아민, 트리도데실아민, 트리에탄올아민, N,N,N'-트리에틸에틸렌디아민, 트리헥실아민, 트리이소옥틸아민, 트리이소프로판올아민, N,N,5 트리메틸푸르푸릴아민, 트리옥틸아민, 트리페닐아민, 트리스(2 아미노에틸)아민, 트리스[2-(2-메톡시에톡시)에틸]아민, 트리-p-톨릴아민, 트리틸아민, N2-알킬-디에틸렌트리아민, 헥사메틸렌테트라민, N,N,N',N'-테트라메틸에틸렌디아민 등, 및 이들의 조합을 포함하지만 여기에만 국한되는 것은 아니다.
바람직한 실시양태에서, 유기 암모늄 화합물은, 콜린 염(즉 R1 내지 R4 중 하나가 히드록시에틸 잔기이고 R1 내지 R4 중 다른 3개가 메틸 같은 알킬기임), 비스-콜린 염(즉 R1 내지 R4 중 2개가 히드록시에틸 잔기이고, R1 내지 R4 중 다른 2개가 메틸 같은 알킬기임) 및 트리스-콜린 염(즉 R1 내지 R4 중 3개가 히드록시에틸 잔기이고, R1 내지 R4 중 다른 하나가 메틸 같은 알킬기임) 중 하나 이상을 함유한다. 바람직한 염 상대이온은 변할 수 있지만, 본 발명에 따르는 조성물에서 사용되기에 특히 바람직한 염 상대이온은 히드록사이드 상대이온이고, 클로라이드, 카르보네이트 및 히드로겐 카르보네이트 상대이온도 바람직하다.
옥소암모늄 화합물은 전형적으로 환원제이고(즉 환원 포텐셜을 가짐), 물과 혼화성이어야 하며, 하기 구조 중 하나를 갖는다.
Figure 112012106095985-pat00006

상기 식에서,
X는 히드록사이드, 술페이트, 히드로겐 술페이트, 포스페이트, 히드로겐 포스페이트, 디히드로겐 포스페이트, 니트레이트, 카르복실레이트(예를 들면 아세테이트, 벤조에이트, 카르바메이트, 포르메이트, 락테이트, 옥살레이트, 히드로겐 옥살레이트, 시트레이트, 히드로겐 시트레이트, 디히드로겐 시트레이트, 타르타레이트, 히드로겐 타르타레이트, 갈레이트(서브갈레이트), 신나메이트 등), 할라이드, 예를 들면 클로라이드, 플루오라이드, 요오다이드, 브로마이드 등, 카르보네이트, 히드로겐 카르보네이트(비카르보네이트), 비플루오라이드 등일 수 있고;
각각의 R5는 독립적으로 수소, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기 또는 이것의 염 또는 유도체일 수 있고;
각각의 R6 및 R7은 독립적으로 수소, 히드록실기, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기 또는 이것의 염 또는 유도체일 수 있다.
옥소암모늄 화합물의 예는 히드록실아민, 히드록실아민 술페이트, 히드록실아민 포스페이트, 히드록실아민 클로라이드, 히드록실아민 니트레이트, 히드록실아민 시트레이트, N,N-디에틸히드록실아민, 이소프로필히드록실아민 등, 및 이들의 조합을 포함하지만 여기에만 국한되는 것은 아니다.
바람직한 실시양태에서, 옥소암모늄 화합물은 히드록실아민(즉 화학식 I에서 R5 내지 R7 모두가 수소임), 히드록실아민 염(즉 화학식 II에서 R5 내지 R7 모두가 수소임) 및 히드록실아민 유도체(즉 화학식 I에서, 예를 들면 R5가 수소이고 R6 및 R7이 독립적으로 C1-C4 알킬기임) 중 하나 이상을 포함한다. 화학식 II의 옥소암모늄염이 존재하는 경우, 본 발명에 따르는 조성물에서 사용되기에 특히 바람직한 염 상대이온은 술페이트, 히드로겐 술페이트 또는 니트레이트 상대이온이지만, 카르복실레이트, 클로라이드, 포스페이트, 히드로겐 포스페이트 및 디히드로겐 포스페이트도 바람직한 염 상대이온이다.
몇몇 실시양태에서, 물과 혼화성인 유기 극성 용매가 포함될 수 있다. 적합한 유기 극성 용매는 알칸올아민 및 이것의 유도체를 포함하지만 여기에만 국한되는 것은 아니다. 또한, 비-아민 용매, 예를 들면 디메틸 술폭사이드(DMSO)가 사용하기에 적합할 수 있다. 적합한 알칸올아민은 1차, 2차 또는 3차 아민을 포함할 수 있지만 여기에만 국한되는 것은 아니며, 바람직하게는 모노아민, 디아민 또는 트리아민이다. 알칸올아민의 알칸올기는 바람직하게는 약 1 내지 약 5 개의 탄소 원자를 갖는다. 추가로 또는 대안적으로, 적합한 알칸올아민은 화학식 R10R11-N-CH2CH2-O-R12(여기서 각각의 R10 및 R11는 독립적으로 H, CH3, CH3CH2, CH2CH2OH 또는 CH2CH2-N-R10R11이고, R12는 H 또는 CH2CH2OH임)로 나타내어질 수 있다. 적합한 알칸올아민의 예는 모노에탄올아민(MEA), 디에탄올아민, 트리에탄올아민, 아미노에틸에탄올아민(AEEA), 3차 부틸 디에탄올아민, 이소프로판올아민, 2-아미노-1-프로판올, 3-아미노-1-프로판올, 이소부탄올아민, 2-아미노-2-에톡시프로판올, 디글리콜아민으로서도 공지된 2-아미노-2-에톡시-에탄올, 및 이들의 조합을 포함할 수 있지만, 여기에만 국한되는 것은 아니다.
사용하기에 적합한 유기 극성 용매의 추가의 예는 N-메틸-2-피롤리디논, N,N-디메틸프로판아미드, N,N-디에틸포름아미드, 에틸렌 글리콜, 에틸렌 글리콜 알킬 에테르, 디에틸렌 글리콜 알킬 에테르, 트리에틸렌 글리콜 알킬 에테르, 프로필렌 글리콜, 프로필렌 글리콜 알킬 에테르, 디프로필렌 글리콜 알킬 에테르, 트리프로필렌 글리콜 알킬 에테르, N-치환된 피롤리돈, 에틸렌디아민, 에틸렌트리아민, 디메틸 아세트아미드(DMAc), 프로필렌 글리콜(PG), 디프로필렌 글리콜 모노메틸 에테르(DPM), N-메틸 피롤리돈(NMP) 또는 시클로헥실 피롤리돈(CHP), 또는 이들의 혼합물을 포함할 수 있지만 여기에만 국한되는 것은 아니다. 해당 분야에 공지된 바와 같은, 물과 혼화성인 추가의 유기 극성 용매가 사용될 수도 있다.
유기 극성 용매가 본 발명에 따르는 조성물 내에 존재하는 경우, 바람직한 극성 유기 용매는 전형적으로 극성이며, NMP, DMSO, DGA, MEA, 프로필렌 글리콜 및 이들의 혼합물을 포함할 수 있지만 여기에만 국한될 필요는 없다. 용매가 본 발명에 따르는 조성물 내에 존재하는 경우, 사용되는 임의의 용매는 전형적으로 유기, 극성이면서 물과의 혼화성이 높아야 한다.
몇몇 실시양태에서, 때때로 특정 물질의 부식을 방지하는 역할도 하는 킬레이트화제가 포함될 수 있다. 킬레이트화제가 존재하는 경우, 이것은 일반적으로 금속(예를 들면 구리)이 부식되는 것을 방지하는 기능을 하며, 해당 분야의 기타 시스템 내에서 구리 부식을 방지하는데 사용되는 임의의 화합물을 포함하는 다양한 화합물군에서 선택될 수 있다.
더욱 구체적으로는, 하기 화학식의 화합물 및 이들의 조합이 사용될 수 있다.
Figure 112012106095985-pat00007

상기 식에서, X, Y 및 Z는 독립적으로 C, N, O, S, P 중에서 선택된다. 이러한 조건에서, 원자가 요건 및 펜던트 R기의 존재는 적당하게 설정될 수 있다. 펜던트 R기인 R1 내지 R5는 각각 독립적으로 H; 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐 기; 직쇄형 또는 분지형 알콕시기; 치환된 아실기; 직쇄형 또는 분지형 알콕시기; 아미딜기; 히드록실기; 할로겐; 카르복실기; 알콕시알킬기; 알킬아미노기; 알킬술포닐기; 술폰산기; 이러한 화합물의 염; 또는 이들의 조합을 포함할 수 있지만, 여기에만 국한되는 것은 아니다. 한 바람직한 실시양태에서, X, Y 및 Z는 각각 질소, 질소 및 탄소이고, R1 내지 R5는 각각 수소이다. 또 다른 바람직한 실시양태에서, X, Y 및 Z는 각각 질소이고, R3는 수소이고, R4 및 R5는 X 및 Y와 연결되어 6-원 방향족 고리 구조를 형성한다.
킬레이트화제가 존재하는 경우, 바람직한 킬레이트화제는 본 발명에 따르는 조성물에 의해 제거되는 물질과 착물을 형성할 수 있고, 하나 이상의 아미노 카르복실산, 예를 들면 N-히드록시에틸이미노디아세트산, 니트릴로트리아세트산(NTA), 에틸렌디아민테트라아세트산(EDTA), N-히드록시에틸렌디아민트리아세트산(HEDTA) 및 디에틸렌트리아민펜타아세트산(DTPA), 고리형 카르복실산 뿐만 아니라 아미노 및 고리형 카르복실산의 염, 예를 들면 1 내지 20 개, 바람직하게는 2 내지 10 개, 더욱 바람직하게는 2 내지 6 개의 탄소 원자를 갖는 포화 및 불포화 지방족 및 방향족 모노- 및 디카르복실산, 예를 들면 포름산, 아세트산, 프로피온산, 부티르산, 카프릴산, 카프르산, 라우르산, 미리스트산, 팔미트산, 스테아르산, 아크릴산, 프로피올산, 메타크릴산, 크로톤산, 이소크로톤산 및 올레산, 시클로헥산카르복실산, 벤조산, 페닐아세트산, o-, m-, p-톨루산, o-, p-클로로벤조산, o-, p-니트로벤조산, 살리실산, 프탈산, 나프토산, 신남산, 니코틴산, 및 치환된 비고리형 및 고리형 카르복실산, 예를 들면 락트산, 말산, 만델산, 살리실산, 아니스산, 바닐산, 베라트로산, 옥소카르복실산, 예를 들면 글리옥실산, 피루브산, 아세토아세트산, 레불린산; 알파-아미노카르복실산, 즉 모든 α-아미노카르복실산, 예를 들면 알라닌, 아르기닌, 시스테인, 프롤린, 트립토판, 티로신 및 글루타민 뿐만 아니라, 기타 아미노카르복실산, 예를 들면 히푸르산, 안트라닐산, 카르밤산, 카르바즈산, 히단토산, 아미노헥산산 및 3- 및 4-아미노벤조산; 2 내지 20 개의 탄소 원자를 갖는 포화 및 불포화 디카르복실산, 예를 들면 옥살산, 말론산, 숙신산, 글루타르산, 아디프산, 피멜산, 수베르산, 아젤라산, 세박산, 말레산, 푸마르산, 프탈산, 이소프탈산, 테레프탈산 및 소르브산, 및 전술된 카르복실산의 에스테르를 포함할 수 있고, 이 중에서도 메틸, 에틸 및 에틸헥실 에스테르가 특히 언급될 수 있다.
구리 부식방지제로서 작용할 수 있는 킬레이트화제의 또 다른 군인 히드록시벤젠이 본원에서 이미 언급된 화합물군과 함께 또는 독립적으로 본 발명에서 사용될 수 있다. 이것은 하기 화학식으로 표시된다.
Figure 112012106095985-pat00008

상기 식에서, n은 1 내지 4이고, m은 2 내지 5이고, R은 독립적으로 수소, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기; 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 할로겐, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기 또는 이러한 화합물의 염이다. 이러한 킬레이트화제/부식방지제의 적합한 구체적인 예는 카테콜, t-부틸 카테콜 및 벤조트리아졸을 포함하지만 여기에만 국한되는 것은 아니다.
전형적으로, 본 발명에 따르는 조성물 내에 존재하는 유기 암모늄 화합물의 양은 제거되는 포토레지스트/중합체 및/또는 잔류물의 화학적 및/또는 물리적 본질에 따라 달라질 수 있다. 한 실시양태에서, 본 발명에 따르는 조성물은 약 0.4 내지 약 50 중량%, 바람직하게는 약 0.5 내지 약 45 중량%, 예를 들면 약 1 내지 약 30 중량% 또는 약 8 내지 약 25 중량%, 대안적으로 약 0.4 내지 약 10 중량%, 약 15 내지 약 45 중량%, 또는 약 9 내지 약 23 중량%의 유기 암모늄 화합물을 함유할 수 있다.
전형적으로, 본 발명에 따르는 조성물 내에 존재하는 하나 이상의 옥소암모늄 화합물의 양은 제거되는 포토레지스트/중합체 및/또는 잔류물의 화학적 및/또는 물리적 본질에 따라 달라질 수 있다. 한 실시양태에서, 본 발명에 따르는 조성물은 약 0.1 내지 약 12 중량%, 바람직하게는 약 0.4 내지 약 10 중량%, 예를 들면 약 0.5 내지 약 5 중량% 또는 약 2 내지 약 10 중량%, 대안적으로 약 0.3 내지 약 3 중량% 또는 약 3 내지 약 11 중량%의 하나 이상의 옥소암모늄 화합물을 함유할 수 있다.
전형적으로, 본 발명에 따르는 조성물 내에 존재하는 물의 양은 제거되는 포토레지스트/중합체 및/또는 잔류물의 화학적 및/또는 물리적 본질에 따라 달라질 수 있다. 한 실시양태에서, 본 발명에 따르는 조성물은 약 10 내지 약 95 중량%, 바람직하게는 약 15 내지 약 94 중량%, 예를 들면 약 20 내지 약 90 중량%, 대안적으로 약 12 내지 약 30 중량%, 약 48 내지 약 60 중량% 또는 약 75 내지 약 90 중량%의 물을 함유할 수 있다.
본 발명에 따르는 조성물 내에 존재하는 임의적 유기 용매의 양은 제거되는 포토레지스트/중합체 및/또는 잔류물의 화학적 및/또는 물리적 본질에 따라 달라질 수 있다. 유기 용매가 존재하는 경우, 본 발명에 따르는 조성물은 유리하게는 약 10 내지 약 95 중량%, 바람직하게는 약 25 내지 약 85 중량%, 예를 들면 약 30 내지 약 80 중량% 또는 약 45 내지 약 75 중량%, 대안적으로 약 2 내지 약 40 중량%, 약 5 내지 약 50 중량% 또는 약 50 내지 약 90 중량%의 임의적 유기 용매를 함유할 수 있다.
본 발명에 따르는 조성물 내에 존재하는 임의적 킬레이트화제의 양은 제거되는 포토레지스트/중합체 및/또는 잔류물의 화학적 및/또는 물리적 본질에 따라 달라질 수 있다. 킬레이트화제가 존재하는 경우, 본 발명에 따르는 조성물은 유리하게는 약 0.1 내지 약 10 중량%, 바람직하게는 약 0.2 내지 약 8 중량%, 예를 들면 약 0.3 내지 약 4 중량% 또는 약 0.5 내지 약 5 중량%, 대안적으로 약 0.01 내지 약 1 중량%, 약 0.1 내지 약 2 중량% 또는 약 0.05 내지 약 0.5 중량%의 임의적 킬레이트화제를 함유할 수 있다.
임의적으로, 및 통상적으로 필요한 경우에만, 본 발명에 따르는 조성물의 pH가 기재로부터 중합체, 잔류물, 또는 이들 둘 다를 제거하면서도 기재와 결합된 회로 또는 회로의 일부의 운용성을 유지하기에 효과적인 특정 범위에 속하도록, 조성물의 pH를 유지 및/또는 조절하기 위해서 pH 조절제를 사용할 수 있다. 조성물의 pH를 용도에 따라 보다 산성 또는 보다 염기성이도록 조절할 수 있고, 사용되는 특정 pH 조절제는 원하는 pH 변동분 뿐만 아니라, 조성물 내에서의 용해도; 유기 암모늄 화합물, 옥소암모늄 화합물, 물 및 임의의 임의적 성분(예를 들면 유기 용매)과의 혼화성; 금속(예를 들면 구리, 알루미늄 등)에 대한 부식성 등을 포함하지만 여기에만 국한되는 것은 아닌 많은 인자에 따라 달라질 수 있다. 회로-기재 물질과 상용성인(compatible) 산성 또는 염기성 pH 조절제가 해당 분야에 잘 공지되어 있으며, 이것은 산/염기 뿐만 아니라 염을 함유하는 pH 완충제 또는 단지 산성/염기성인 화합물을 포함할 수 있다. 그러나 임의의 임의적 pH 조절제가 본 발명에 따르는 조성물에 첨가되는 경우, 옥소암모늄 및/또는 유기 암모늄 화합물이 염기성이기 때문에, 이러한 pH 조절제는 전형적으로 오로지 산성인 pH 조절제일 것이다. 산성 pH 조절제의 예는 무기 산, 예를 들면 염산, 질산, 황산, 인산 등; 및 유기 산, 예를 들면 탄산, 시트르산 등; 및 이들의 조합을 포함할 수 있다.
본 발명에 따르는 조성물이 집적회로 기재, 웨이퍼-수준 패키징에서의 기재 또는 인쇄회로/웨이퍼 기판 상에서 포토레지스트/중합체 및/또는 잔류물의 제거를 위해 사용되는 경우, 조성물의 pH는 통상적으로 염기성일 것이 요구된다. 특정 실시양태에서, pH는 약 7 초과, 예를 들면 약 8 초과 또는 약 9 초과로 유지 및/또는 조절되는 것이 바람직하다. 특정 실시양태에서, pH는 약 7 내지 약 12, 예를 들면 약 8 내지 약 11.5, 또는 약 9 내지 약 11의 범위로 유지 및/또는 조절되는 것이 바람직하다. 가장 바람직한 실시양태에서, 본 발명에 따르는 조성물의 pH는 약 12 이상으로 유지 및/또는 조절된다.
한 실시양태에서, 본 발명에 따르는 조성물은 하나 이상의 킬레이트화제, 부식방지제, 당 알콜, 필름-형성제, 계면활성제, 연마입자, 알칸올아민, 유기 용매, 플루오라이드-함유 화합물, 산화제, 옥소암모늄 화합물 이외의 환원제, 알칼리금속-함유 pH 조절제, 비관능화 트리알킬암모늄 화합물(즉 질소에 공유결합된 순수 탄화수소기를 갖는 것), 및 비관능화 테트라알킬암모늄 화합물(즉 질소에 공유결합된 순수 탄화수소기를 갖는 것)을 실질적으로 함유하지 않을 수 있다. 본원에서 조성물과 관련해 화합물을 언급할 때 사용되는 "실질적으로 함유하지 않는"이라는 문구는, 조성물이 약 2 중량% 미만, 바람직하게는 약 1 중량% 미만, 예를 들면 약 0.1 중량% 미만 또는 약 0.01 중량% 미만의 화합물을 함유한다는 것을 의미하는 것으로 이해해야 한다. 어떤 경우에, "실질적으로 함유하지 않는"이라는 문구는 조성물이 이러한 화합물을 전혀 함유하지 않음을 의미한다.
본 발명에 따르는 조성물은 유리하게는, IC 상호접속부, 솔더 범프, 언더-범프 물질(UBM), 전도성 금속(특히 구리 및 구리 합금), 난융성 금속/금속 합금, 난융성 금속 산화물/질화물/옥시질화물, 장벽층, 에칭 정지층, 리프트-오프 층, 유전체(특히 저-k 유전체), 귀금속 등, 및 이들의 조합 및/또는 다층 어셈블리를 보호하는데 사용되는 물질을 포함하지만 여기에만 국한되는 것은 아닌 기재(예를 들면 IC, WLP 및 PCB 기재)로부터 포토레지스트/중합체 및/또는 유기 잔류물을 박리/제거하는데 사용될 수 있다.
본 발명에 따르는 조성물은 (양성 또는 음성) 포토레지스트 및/또는 중합체 잔류물을 IC, WLP 및 PCB 기재로부터 박리/제거하는 용도에서 가장 흔히 사용되지만, 이것의 유용성은 여기에만 국한되는 것은 아니다. 실제로, 이론에 의해 뒷받침된 것은 아니지만, 본 발명에 따르는 조성물은 다양한 기재로부터 원치않는 물질, 예를 들면 중합체(본원에서 사용되는 바와 같이, 단독중합체, 공중합체, 올리고머, 이량체, 단량체 및 이들의 조합 또는 반응 생성물을 포함하는 것으로 이해해야 함), 및 기타 유기 및/또는 유기금속성 물질, 특히 카르보닐 잔기, 카르복실 잔기, 니트릴 잔기, 이미드 잔기, 또는 이들의 조합을 분자 구조 내에 어딘가에 함유하는(그리고 이러한 잔기들에 합당하게 접근가능한) 기타 유기 및/또는 유기금속성 물질을 제거하는데 사용될 수 있다고 생각된다. 바람직한 실시양태에서, 원치않는 물질은 특히 카르복실 잔기를 함유한다.
전형적으로, 보다 작은 선폭(예를 들면 0.18 마이크로미터 이하, 또는 약 0.10 마이크로미터)을 갖는 IC, WLP 및 PCB 기재의 제작사는, 일반적으로 포토레지스트가 (예를 들면 경화를 위해) 노출되는 광/에너지의 파장이 193 ㎚이기 때문에 소위 "193"이라고 칭해지는 포토레지스트를 제작하는 방향으로 가고 있다. 그럼에도 불구하고, 표준 193 포토레지스트와 함께, 본 발명에 따르는 조성물은 원자외선(DUV)에 대해 현저하게 투명성인 중합체를 박리/제거하는데에 특히 유용할 수 있다. 본원에서 사용된 "원자외선" 또는 "DUV"란 약 250 ㎚ 미만의 파장을 갖는 자외선을 지칭한다. 따라서, DUV에 대해 현저하게 투명성인 중합체는 193 ㎚ 포토레지스트로서 사용될 뿐만 아니라 248 ㎚ 포토레지스트 및 157 ㎚ 포토레지스트로서도 사용되는 중합체를 포함한다. 이러한 DUV-투명성 중합체는 폴리(아크릴레이트), 폴리(알킬 아크릴레이트), 폴리(알크아크릴레이트), 폴리(알킬 알크아크릴레이트), 폴리(아크릴산) 또는 이것의 적어도 부분적으로 중화된 염, 폴리(알킬 아크릴산) 또는 이것의 적어도 부분적으로 중화된 염, 폴리(알크아크릴산) 또는 이것의 적어도 부분적으로 중화된 염, 폴리(알킬 알크아크릴산) 또는 이것의 적어도 부분적으로 중화된 염, 폴리(비닐 아세테이트), 폴리이미드, 폴리아미드, 폴리에스테르, 카르복실화 중합체, 폴리(에테르-에테르-케톤), 폴리(에테르-케톤-케톤), 폴리케톤, 폴리(비닐카르바메이트), 폴리알데히드, 폴리무수물, 폴리카르보네이트, 폴리우레탄, 폴리우레아, 폴리(우레탄우레아), 폴리(아크릴로니트릴) 등, 및 이들의 혼합물, 조합 및 공중합체를 포함할 수 있지만 여기에만 국한되는 것은 아니다. 전부는 아니지만 대부분의 표준 193 포토레지스트(및 실제로, 일반적으로 대부분의 DUV-투명성 포토레지스트)는 하나 이상의 (공)중합체 반복 단위 내에 열거된 작용기(예를 들면 카르복실)를 함유한다. 주로 플루오르화 공중합체로 이루어진 보다 신규한 157 포토레지스트 및 기타 "차세대" 포토레지스트, 예를 들면 본원에서 참고로 인용된 기사[Hiroshi Ito, (Adv. Polym. Sci., 172:121-139, 2005)]에 개시된 것은 전형적으로 하나 이상의 (공)중합체 반복 단위 내에 하나 이상의 열거된 작용기를 함유한다.
본 발명에 따르는 조성물에 의해 제거될 수 있는 포토레지스트/중합체의 기타 예를, 특히 본원에서 참고로 인용된, 미국특허 제 6,610,456 호; 제 6,670,095 호; 제 6,683,202 호; 제 6,692,887 호; 제 6,727,032 호; 제 6,730,452 호; 제 6,737,215 호; 제 6,749,989 호; 제 6,794,110 호; 및 제 6,818,377 호 뿐만 아니라, 문헌[N.L.Brakensiek 등, "Wet-recess Process Optimization of a Bottom Antireflective Coating for the Via First Dual Damascene Scheme", Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v.5376(J.Sturtevant, ed.), 2004]; 문헌[C.J.Neef 등, "New BARC Materials for the 65-㎚ Node in 193-㎚ Lithography", Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v.5376(J.Sturtevant, ed.), 2004]; 문헌[L.He 등, "Bottom Anti-Reflective Coatings(BARCs) for 157-㎚ Lithography", Proc.Soc.Photo-Optical Instrum. Eng., 2003]; 문헌[J.D.Meador 등, "193-㎚ Multilayer Imaging Systems", Proc.Soc.Photo-Optical Instrum. Eng., 2003]; 문헌[J.D.Meador 등, "New Materials for 193-㎚ Trilayer Imaging", Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v.5376(J.Sturtevant, ed.), 2004]; 문헌[M.Bhave 등, "Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process", Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v.5376(J.Sturtevant, ed.), 2004]; 문헌[M.Weimer 등, "New Material for 193-㎚ Bottom Anti-Reflective Coatings", Proc. Soc. Photo-Optical Instrum. Eng., 2003]; 문헌[R.Huang, "Via fill properties of organic BARCs in Dual Damascene application", Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376(J.Sturtevant, ed.), 2004]; 및 문헌[K.A.Nowak, "Void Elimination Research in Bottom Anti-Reflective Coatings for Dual Damascene Lithography", Proc.Soc.Photo-Optical Instrum.Eng., 2003]에서 찾을 수 있다.
추가로 또는 대안적으로, 본 발명에 따르는 조성물은 중합체 주쇄 상에 존재하거나 중합체 주쇄에 매달린 카르보닐/카르복실기를 함유하지 않지만, 하나 이상의 열거된 기(즉 카르보닐, 카르복실, 니트릴 및 이미드) 또는 전형적으로 둘 이상의 열거된 기를 갖는 화합물(예를 들면 글루타르알데히드, 아디프산, 숙신산 등과 같은 가교제)로써 (예를 들면 이온적으로, 공유결합적으로, 착물형성 등을 통해) 가교된 중합체를 박리/제거하는데 유용할 수 있다.
본 발명에 따르는 조성물은, 본원에서 전문이 참고로 인용된, 본 발명과 동일한 날짜에 출원된, 통상적으로 양도된, 동시계류 중인, 발명의 명칭이 "듀얼 다마신 시스템을 위한 후-에칭 세정 및 박리 조성물(POST ETCH CLEANING AND STRIPPING COMPOSITIONS FOR DUAL DAMASCENE SYSTEM)"인 특허출원에 보다 상세하게 논의된, "비아-퍼스트(via-first)" 및 "트렌치-퍼스트(trench-first)" 디자인 원칙을 사용하여 고안된 (비아 또는 상호접속부 같은) 양태를 갖는 단일 또는 듀얼 다마신 구조를 함유할 수 있는 기재로부터 중합체, 포토레지스트 잔류물, 또는 이들 둘 다를 제거하기 위한 본 발명에 따르는 방법에서 사용될 수 있다.
본 발명의 또 다른 실시양태는 듀얼 다마신 공정으로부터 초래된 펜스(fence) 및 크라운(crown)을 포함하는, 측벽 및 비아 둘 다 상의 잔류물을 효과적으로 세정하고, 비아가 에칭된 후 에칭 공정에서 사용된 중합체성 물질을 제거하는 세정 조성물 및 세정 공정을 제공한다.
본 발명의 또 다른 실시양태는 에칭 후의 잔류물을 비아 저부로부터 효과적으로 세정하는 세정 조성물 및 세정 방법을 제공한다.
본 발명의 한 양태에 따르면, 듀얼 다마신 구조를 세정하는 전통적인 방식은 이러한 물질 조합에는 효율적으로 적용될 수 없다는 것이 밝혀졌다. "2단계 에칭 공정"이 ULSI 제조의 요건을 달성하는데 사용될 수 있다.
본 발명의 또 다른 양태에 따라, 트렌치-퍼스트 공정과 같은 듀얼 다마신 제작의 문제를 해결하기 위한 신규한 세정 화학이 제공된다. 이러한 작업은, 신규한 화학의 디자인을 형성하는, 본 발명의 이러한 양태의 두 주요 관심 물질인 구리 및 SiLK 또는 기타 저-k 또는 다공질 유전체와 상용성인 가능한 후보를 광범위하게 조사하는 것부터 시작된다. 이러한 신규한 세정 화학은 다마신 구조 상에서 평가된다. 이러한 작업은 주사전자현미경(SEM), 투과전자현미경(TEM), 구리를 통합하는 양태 상에서의 비행시간형 2차이온 질량분석법(time-of-flight secondary ion mass spectrometry, TOF-SIMS) 및 SiLK의 통합을 위한 FT-IR 및 C(V) 측정법에 의해 지지된다.
본 발명의 추가의 양태에 따르면, 듀얼 다마신 구조의 저부 에칭 정지 무기층은 하부 구리 상호접속부를 보호하고 보다 나은 세정을 허용한다. 본 발명의 이러한 양태에서, 집적회로 제작 공정은 집적회로 내의 구리 전도 배선 상에 제 1 규소 화합물 에칭 정지층을 형성함을 포함한다. 제 2 규소 화합물 벌크 유전체를 제 1 규소 화합물 에칭 정지층 상에 형성한다. 제 2 규소 화합물 벌크 유전체를 에칭하여 에칭 정지층을 노출시킨다. 잔류물을 집적회로로부터 제거한다. 에칭 정지층을 에칭하여 구리 전도 배선을 노출시킨다. 본 발명에 따르는 조성물을 사용하여 잔류물, 포토레지스트, 중합체 및/또는 희생적 물질을 집적회로로부터 제거한다.
본 발명의 또 다른 양태에 따라, 하부 구리 상호접속부를 보호하는 듀얼 다마신 구조의 저부의 제 1 에칭 정지 무기층(예를 들면 질화규소)을 임의적으로 구리 상에서 사용할 수 있지만 본 발명의 화학을 사용하는 경우에는 불필요하다. 저-k 또는 초저-k 유전체로 만들어진 제 1 층간 유전체를 임의적 제 1 에칭 정지층 상에 형성하거나 직접 구리 배선 상에 형성한다. 제 2 에칭 정지 무기층(예를 들면 질화규소)을 임의적으로 제 1 층간 유전체 상에 형성할 수 있다. 저-k 또는 초저-k 유전체로 만들어진 제 2 층간 유전체를 임의적 제 2 에칭 정지층 상에 형성한다. 제 2 층을 포토레지스트로써 코팅하고, 리쏘그래피적으로 패턴화하고, 비등방성 건식 에칭을 통해 제 2 층간 유전체 내에 또는 임의적으로 제 2 에칭 정지층까지 트렌치를 형성하기에 충분한 시간 동안 표면을 절단한다. 중합체성 물질을, 에칭된 트라우 및 에칭되지 않은 제 2 층간 유전체에 도포한다. 이어서 리쏘그래피적으로 패턴화된 포토레지스트 또는 하드 마스크를 통해 중합체성 물질을 통해 비아를 에칭할 수 있다. 비아는 구리 기재에 에칭되거나 제 1 정지층에 에칭된다. 경우에 따라, 제 1 정지층을 특수 에칭을 통해 제거하고, 에칭 잔류물 및 중합체성 물질을 본 발명에 따르는 조성물로써 제거한다.
포토레지스트/중합체는, 어떤 실시양태에서는, 예를 들면 장벽 또는 저부 반사방지 코팅 물질(BARC) 또는 간극-충전 코팅 물질과 같은 듀얼 다마신(DD) 기술에서 트렌치 및 비아를 충전하는 평탄화 물질 및 표면을 피복하는 절연보호(conformal) 물질을 포함하는, 전위 및/또는 후위 리쏘그래피를 위한, 반사방지 코팅으로서 사용될 수 있다. 이러한 코팅 물질의 예는 특히, 브레워 사이언스 인코포레이티드(Brewer Science, Inc.)로부터 상업적으로 입수가능한, DUV, ARC, i-CON, XHRi, XHRiC 및 IMBARC라는 상표명으로서 판매되는 것; 허니웰 일렉트로닉 머터리얼즈(Honeywell Electronic Materials)에서 상업적으로 입수가능한, DUO, ACCUFILL 및 ACCUFLO라는 상표명으로서 판매되는 것; 롬 앤드 하스 일렉트로닉 머터리얼즈 마이크로일렉트로닉 테크놀로지스(Rohm & Haas Electronic Materials Microelectronic Technologies)에서 상업적으로 입수가능한, AR이라는 상표명으로서 판매되는 것을 포함하지만 여기에만 국한되는 것은 절대로 아니다.
추가로, 몇몇 실시양태에서는, 포토레지스트/중합체를 박리/제거 이전에 개질할 수 있다. 예시적인 개질 방법은 화학적 증폭, 화학적 에칭, 원자외선(DUV) 처리, 이온 주입, 플라스마 처리, 감마- 또는 x-선 조사, 전자빔 처리, 레이저 삭마 등, 또는 이들의 조합을 포함할 수 있지만 여기에만 국한되는 것은 아니다.
이론에 의해 뒷받침된 것은 아니지만, 본 발명에 따르는 조성물 내 성분들의 조합, 즉 유기 암모늄 화합물, 옥소암모늄 화합물, 물 및 임의적 유기 용매(뿐만 아니라 임의의 기타 임의적 성분)는 시너지적으로 작용하여 원하는 목적을 달성한다고 생각된다. 예를 들면, 미국특허 제 5,091,103 호에는 플레이크-오프(flake-off) 또는 리프트-오프 공정(포토레지스트 물질/잔류물은 박리 조성물에서 낮은 용해도를 가짐)을 통해 인쇄회로/와이어 기판으로부터 포토레지스트를 제거하는 매우 낮은 옥소암모늄 함량을 갖는 조성물을 사용함이 개시되어 있다. 이와 대조적으로, 본 발명의 조성물 및 방법은 기재로부터 포토레지스트/중합체를 제거하는데 있어 상이한 성분들의 상대적 분포를 최적화하는 이온화 용해의 개념을 사용하는데, 여기서 기재로부터 제거된 포토레지스트/중합체/잔류물은 종래 기술의 조성물보다 본 발명에 따르는 조성물에서 측정가능한 정도로 보다 높은 용해도를 갖는다. 이온화 용해 개념은 본원에서는 콜린염, 히드록실아민 화합물, 물 및 임의적 유기 용매를 함유하는 예시적 조성물을 사용한다는 것으로 기술된다.
한편으로는, 콜린염은 비교적 강한 염기이므로, 카르보닐, 이미드 및/또는 니트릴기, 예를 들면 카르복실산기를 함유하는 포토레지스트/중합체의 존재하에서, 이것은 이론적으로는 카르복실산기 양성자와 상호작용하여 카르복실레이트 염을 형성하고, 이것은 이상적으로는 카르복실산기 그 자체에 비해 본 발명에 따르는 조성물에서 증가된 용해도를 갖는다. 또 다른 한편으로는, 히드록실아민 화합물은 비교적 강한 친핵체이므로, 카르보닐, 이미드 및/또는 니트릴기, 예를 들면 카르복실산 또는 카르복실레이트 기를 함유하는 포토레지스트/중합체의 존재하에서, 이것은 이론적으로는 (부분적으로 양성 하전된 부위인) 그것의 카르보닐 탄소와 상호작용하여 통상적으로 카르복실산/카르복실레이트 기와 완전히 상이한 잔기를 형성하며, 이것은 이상적으로는 카르복실산기 그 자체에 비해 본 발명에 따르는 조성물에서 증가된 용해도를 갖는다. 더욱이, 매우 극성이고 증가된 쌍극자 모멘트를 나타내는 물은, 본 발명에 따르는 조성물에서, 전술된 유기 암모늄 화합물과 옥소암모늄 화합물의 작용에 의해 형성된 잔기(및 따라서 이것과 연결된 중합체)를 가용화시키는(또는 용해 기회를 증가시키는) 역할을 할 수 있다. 또한, 가능한 한 일반적으로 사용된 포토레지스트/중합체와 상용성인 것으로 선택될 수 있는 임의적 유기 용매는, 전술된 유기 암모늄 화합물과 옥소암모늄 화합물의 작용에 의해 변경되지 않은 채로 있는 포토레지스트/중합체의 일부를 가용화시키는(또는 용해 기회를 증가시키는) 역할을 할 수 있다.
그 결과, 해당 분야의 보통 숙련자는, 비교적 낮은 분자량 및/또는 낮은 가교도를 갖는 포토레지스트/중합체(예를 들면 유기 암모늄 함량을 증가시킴); 비교적 높은 분자량, 높은 가교도 및/또는 높은 함량의 열거된 기를 갖는 포토레지스트/중합체(예를 들면 옥소암모늄 함량을 증가시킴); 비교적 높은 이온화 함량을 갖는 잔류물(예를 들면 물 함량을 증가시킴); 비교적 낮은 이온화 함량 및/또는 비교적 높은 함량의 열거된 기를 갖는 잔류물(예를 들면 유기 용매 함량을 증가 또는 첨가시킴) 등에 적응하도록, 본 발명에 따르는 조성물 내의 각각의 성분들의 상대적 함량을 조절할 수 있다. 따라서, 한 실시양태에서, 본 발명에 따르는 조성물에서의 포토레지스트/중합체 잔류물의 용해도는 유리하게는 (1) 임의의 옥소암모늄 화합물을 함유하지 않는 조성물에서의 포토레지스트/중합체 잔류물의 용해도 보다 크거나, (2) 임의의 유기 암모늄 화합물을 함유하지 않는 조성물에서의 포토레지스트/중합체 잔류물의 용해도 보다 크거나, (3) 바람직하게는 둘 다이다. 또 다른 실시양태에서, 불용성 물질을 (예를 들면 여과에 의해) 제거한 후, 본 발명에 따르는 조성물에 용해된 포토레지스트/중합체 잔류물의 농도는 유리하게는 (1) 임의의 옥소암모늄 화합물을 함유하지 않는 조성물에 용해되는 포토레지스트/중합체 잔류물의 농도보다 크거나, (2) 임의의 유기 암모늄 화합물을 함유하지 않는 조성물에 용해되는 포토레지스트/중합체 잔류물의 농도보다 크거나, (3) 바람직하게는 둘 다이다.
기재 상의 포토레지스트/중합체/잔류물의 유형이 무엇이든간에, 즉 양성이든 음성이든간에, 본 발명에 따르는 조성물은 효과적이고 안전한 제거를 위해 광범위하게 개질될 수 있다. 성분의 전술된 시너지 및 이온화 용해 작용 때문에, 본 발명에 따르는 조성물은 집적회로/전자칩 제조에 전형적인 두께(예를 들면 약 0.5 내지 약 5 마이크로미터 두께)를 갖는 포토레지스트/중합체/잔류물의 제거 뿐만 아니라, 1 또는 2 배 더 두꺼운 두께, 예를 들면 웨이퍼-수준 패키징 및 인쇄회로/와이어 기판 제작에 사용되는 두께(예를 들면 약 10 내지 약 150 마이크로미터, 또는 그 이상)를 갖는 포토레지스트/중합체/잔류물의 제거에 효과적일 수 있다. 일반적으로, 포토레지스트/중합체가 두꺼워질수록, 가교도 및/또는 분자량은 높아지기 때문에, 보다 두꺼운 포토레지스트/중합체/잔류물은 전형적으로 보다 높은 옥소암모늄 화합물 함량과 관련될 수 있는 반면, 보다 얇은 포토레지스트/중합체/잔류물은 보다 낮은 옥소암모늄 화합물 함량 및/또는 보다 높은 유기 암모늄 화합물 함량과 관련될 수 있다.
하기 실시예는 바람직하거나 바람직하지 않을 수 있는 본 발명의 몇몇 양태를 단지 예시할 뿐이다. 이것이 바람직한 발명을 요약하는 것은 아니며, 첨부된 "청구의 범위"를 어떠한 식으로든지 부당하게 제한하려는 것은 아니다.
표 1 내지 7은 몇몇 예시적인 조성물을 요약한다. 오른쪽 칼럼에 열거된 %는 성분 그 자체의 %라는 것을 알도록 한다. 예를 들면, 용액 A9의 경우, 45% 콜린 히드록사이드의 수용액 20%, 히드록실아민의 50% 수용액 5% 및 추가량의 물 70%라고 명시되어 있다. 따라서, 용액 A9 내 콜린 히드록사이드의 실제 중량%는 약 9%이고(즉 0.20 × 45 % = 9 %), 용액 A9 내 히드록실아민의 실제 중량%는 약 2.5%이고(즉 0.05 × 50 % = 2.5 %), 대략 나머지 88.5%는 물이다[즉 (0.20 × 55%) + (0.05 × 50%) + 70% = 88.5%].
조성물
용액 성분 총합
A1 N-메틸-2-피롤리돈(NMP) 100
A2
디글리콜아민(DGA) 50
N-메틸-2-피롤리돈(NMP) 50
A3
N-히드록시에틸-2-피롤리돈(HEP) 50
N-메틸-2-피롤리돈(NMP) 50
A4
방향족 용매 85
도데실벤젠술폰산 15
A5

방향족 용매 60
카테콜 5
도데실벤젠술폰산 35
A6
45% 콜린 히드록사이드 100
추가의 DIW 0
A7
45% 콜린 히드록사이드 50
프로필렌 글리콜(PG) 50
A8


콜린 히드록사이드(45%) 25
히드록실아민 프리베이스(HYDROXYLAMINE FREEBASE, 등록상표)(50%) 5
추가의 DIW 70
A9

추가의 DIW 75
콜린 히드록사이드(45%) 20
히드록실아민 프리베이스(50%) 5
A10

프로필렌 글리콜(PG) 50
콜린 히드록사이드(45%) 48.8
히드록실아민 술페이트 1.3
조성물
B1
콜린 히드록사이드(45%) 100
추가의 DIW 0
B2
콜린 히드록사이드(45%) 50
추가의 DIW 50
B3
콜린 히드록사이드(45%) 25
추가의 DIW 75
B4
콜린 히드록사이드(45%) 10
추가의 DIW 90
B5

추가의 DIW 64.8
콜린 히드록사이드(45%) 34.9
히드록실아민 술페이트(HAS) 0.4
B6

추가의 DIW 72.4
콜린 히드록사이드(45%) 22.6
히드록실아민 프리베이스(50%) 5
B7

추가의 DIW 63.4
콜린 히드록사이드(45%) 31.6
히드록실아민 프리베이스(50%) 5
B8

추가의 DIW 75
콜린 히드록사이드(45%) 20
히드록실아민 프리베이스(50%) 5
B9

추가의 DIW 70
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 0
B10

추가의 DIW 67.5
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 2.5
B11

추가의 DIW 65
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 5
B12

추가의 DIW 60
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 10
B13

추가의 DIW 58
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 12
B14

추가의 DIW 50
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 20
조성물
C1

추가의 DIW 71.5
콜린 히드록사이드(45%) 25.7
히드록실아민 프리베이스(50%) 2.8
C2

추가의 DIW 65
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 5
C3

추가의 DIW 60
콜린 히드록사이드(45%) 30
히드록실아민 프리베이스(50%) 10
C4



추가의 DIW 51
암모늄 플루오라이드 40%(AF) 4
콜린 히드록사이드(45%) 30
디메틸술폭사이드(DMSO) 10
히드록실아민 프리베이스(50%) 5
C5


추가의 DIW 13
콜린 히드록사이드(45%) 21.7
히드록실아민 프리베이스(50%) 4.4
N-메틸-2-피롤리돈(NMP) 60.87
C6


추가의 DIW 0
콜린 히드록사이드(45%) 25
디메틸술폭사이드(DMSO) 70
히드록실아민 프리베이스(50%) 5
C7

추가의 DIW 70
콜린 히드록사이드(45%) 25
히드록실아민 프리베이스(50%) 5
C8

추가의 DIW 75
콜린 히드록사이드(45%) 20
히드록실아민 프리베이스(50%) 5
조성물
D2

45% 콜린 히드록사이드 25
히드록실아민 프리베이스(50%) 5
N-메틸-2-피롤리돈(NMP) 70
D3


45% 콜린 히드록사이드 2.2
첨가된 DIW 22.8
히드록실아민 프리베이스(50%) 5
N-메틸-2-피롤리돈(NMP) 70
D6

카테콜 5
디글리콜아민(DGA) 60
히드록실아민 프리베이스(50%) 35
D8

추가의 DIW 70
콜린 히드록사이드(45%) 25
히드록실아민 프리베이스(50%) 5
D9

추가의 DIW 75
콜린 히드록사이드(45%) 20
히드록실아민 프리베이스(50%) 5
D10


45% 콜린 히드록사이드 47.5
50% 히드록실아민 2.5
히드록실아민 술페이트 0
프로필렌글리콜(PG) 50
D11


45% 콜린 히드록사이드 24.4
50% 히드록실아민 5
첨가된 DIW 70
히드록실아민 술페이트 0.63
D12

45% 콜린 히드록사이드 23.75
50% 히드록실아민 6.25
첨가된 DIW 70
조성물
E1

추가의 DIW 70
콜린 히드록사이드(45%) 25
히드록실아민 프리베이스(50%) 5
E4

45% 콜린 히드록사이드 1
히드록실아민 프리베이스(50%) 5
첨가된 DIW 94
E5

45% 콜린 히드록사이드 22.75
히드록실아민 프리베이스(50%) 2.5
첨가된 DIW 74.75
E7

45% 콜린 히드록사이드 8.25
히드록실아민 프리베이스(50%) 2.5
첨가된 DIW 89.25
E9

45% 콜린 히드록사이드 15.5
히드록실아민 프리베이스(50%) 5
첨가된 DIW 79.5
E10

45% 콜린 히드록사이드 8.25
히드록실아민 프리베이스(50%) 7.5
첨가된 DIW 84.25
E11

45% 콜린 히드록사이드 30
히드록실아민 프리베이스(50%) 5
첨가된 DIW 65
E12

45% 콜린 히드록사이드 15.5
히드록실아민 프리베이스(50%) 10
첨가된 DIW 74.5
E13

45% 콜린 히드록사이드 1
히드록실아민 프리베이스(50%) 10
첨가된 DIW 89
E14

45% 콜린 히드록사이드 30
히드록실아민 프리베이스(50%) 10
첨가된 DIW 60
E15

45% 콜린 히드록사이드 22.75
히드록실아민 프리베이스(50%) 7.5
첨가된 DIW 69.75
F1
DGA 50
NMP 50
F2

방향족 용매 60
카테콜 5
도데실벤젠술폰산 35
F3
프로필렌 글리콜(PG) 50
콜린 히드록사이드(45%) 50
F4


첨가된 DIW 71.4
글리콜산(70%) 5
히드록실아민 프리베이스(50%) 17.6
모노에탄올아민(MEA) 6
F5

추가의 DIW 70
콜린 히드록사이드(45%) 25
히드록실아민 프리베이스(50%) 5
F6
DMSO 30
MEA 70
조성물
성분 M19 M24
50% 히드록실아민 35 10
카테콜 5 4
콜린 비카르보네이트 2 12
디글리콜아민(DGA) 58 0
첨가된 DIW 0 14
모노에탄올아민(MEA) 0 60
레지스트 제거 완전히 용해됨 완전히 용해됨
조성물
성분 M2 M3 M4
암모늄 플루오라이드 40%(AF) 2.5 2.5 2.5
45% 콜린 히드록사이드 30 30 15
50% 히드록실아민 5 5 5
첨가된 DIW 60 30 45
히드록실아민 술페이트 2.5 2.5 2.5
프로필렌글리콜(PG) 0 30 30
레지스트 제거 완전히 용해됨 완전히 리프트-오프됨 완전히 리프트-오프됨
히드록실아민 화합물을 함유하는 콜린 히드록사이드 용액에서의 구리 에칭 속도
다양한 수중 콜린 히드록사이드 농도에서 구리 에칭 속도를 35℃에서 2 내지 30 분 동안 평가하였다. 시간에 따른 블랭킷 샘플의 시트 저항의 변화를 4-점 탐침 장치(four-point probe)로써 측정함으로써, 구리 두께 손실분을 결정하였다. 구리 두께 손실분 대 공정 시간이 표 2에 열거되어 있다. 도 5는 35℃에서 노출 시간에 대한 구리 두께 손실분의 그래프이다. 약 11 내지 약 16 %의 농도를 갖는 콜린 용액이 가장 높은 구리 에칭 속도를 제공하였다.
용액 B1 내지 B4에 대한, 구리 두께 손실분(Å) 대 시간
시간(분) 용액 B1 용액 B2 용액 B3 용액 B4
2 13 34 39 16
5 73 64 77 16
10 64 96 124 29
20 85 167 228 32
30 127 262 298 45
콜린 용액에 히드록실아민 또는 이것의 염을 첨가하면 구리 에칭 속도가 현저하게 낮아진다. 예를 들면 표 3 및 도 3에 명시된 바와 같이, 0.35%의 히드록실아민 술페이트(HAS)을 함유하는 용액 B5는, 도 2로부터 외삽된 데이타를 근거로, HAS를 함유하지 않는 필적할만한 용액에 대해 추정된 구리 두께의 절반 미만을 손실하였다.
용액 B5에 대한 구리 두께 손실분(Å) 대 시간
시간(분) 용액 B5-구리 두께 손실분(Å) 도 5로부터 외삽된 데이타
2 48 38
5 71 75
10 111 119
30 141 305
20% 이하의 히드록실아민 프리베이스(50% 용액)를 콜린 히드록사이드 용액에 첨가하는 경우에도 유사한 구리 에칭 감소가 관찰되었다. 표 4는 5% 히드록실아민 프리베이스를 다양한 농도의 콜린 용액(용액 B7 내지 B9)에 첨가할 때 구리 손실분이 감소되는 것을 예시한다. 이러한 실시예에서, 구리 두께 손실분의 감소율은 약 50%이다.
용액 B6 내지 B9에 대한 구리 두께 손실분(Å)
용액 35℃에서 용액중 30분 후 구리 두께 손실분
B6 310
B7 155
B8 156
B9 171
WLP 웨이퍼 상에서의 건조-필름 포토레지스트의 제조 및 박리
WB1000, WB2000, WB3000 및 WB5000은 웨이퍼 상에서 WLP 솔더 범프를 형성하는데 유용한, 듀폰에 의해 제조된 건조 필름 포토레지스트이다. 표 11은 포토레지스트를 박리하기 전, 이러한 4가지 유형의 포토레지스트에서 사용되는 처리 변수를 요약한 것이다. 이러한 공정은 (1) 포토레지스트의 라미네이팅; (2) 소성; (3) 노출, (4) 다시 소성, (5) 현상, (6) 다시 소성, (7) 세정, (8) 마이크로에칭, (9) 구리 도금 및 (10) 주석 도금을 포함하였다.
박리 전 건조 포토레지스트 처리
물질명 WB1000 WB2000 WB3000 WB5000
원래 두께 4.0 mil 2.0 mil 4.0 mil 2.0 mil
라미네이션 횟수 1 2 1 2
라미네이션 온도 95 ℃ 95 ℃ 95 ℃ 95 ℃
라미네이션 속도 1.2 m/min 1.2 m/min 1.2 m/min 1.2 m/min
오븐 소성 온도 65 ℃ 65 ℃ 65 ℃ 65 ℃
오븐 소성 시간 20분 20분 20분 20분
노출 에너지(mJ)_
노출 단계 보류(held)(RST41) 23 23 23 23
오븐 소성 온도 86 ℃ 86 ℃ 86 ℃ 86 ℃
오븐 소성 시간 25분 25분 25분 25분
현상률% BP 55% 55% 55% 55%
현상 온도 28 ℃ 28 ℃ 28 ℃ 28 ℃
현상 농도 % 0.85% NaCO3 0.85% NaCO3 0.85% NaCO3 0.85% NaCO3
오븐 소성 온도 110 ℃ 110 ℃ 110 ℃ 110 ℃
오븐 소성 시간 10분 10분 10분 10분
도금전 세정
마이크로에칭
구리 1.0 mil 1.0 mil 1.0 mil 1.0 mil
주석 3.0 mil 3.0 mil 3.0 mil 3.0 mil
NBNP = 재유동 소성시키지 않고 도금도 안함
용액들에 대한 건조 포토레지스트 박리 결과
용액 온도(℃) 시간(분) 성능
A1 85 20 불량
A2 85 20 불량
A3 85 20 불량
A1 100 20 불량
A2 100 20 불량
A3 100 20 불량
A4 90 20 양호
A5 90 20 불량
A6 55 20 양호
A7 55 20 양호
A8 55 20 우수
A9 55 20 우수
A10 55 20 우수
불량 = < 95% 제거율, 양호 = 95 내지 98 % 제거율, 우수 = > 98% 제거율
용액 A8 내지 A10은 금속을 공격하지 않고서 레지스트를 박리하는데 있어 최상의 기능을 수행한다. 용액 A6 및 A7도 레지스트를 박리시키지만 잔류물이 기재 표면 상에 남아있다. 용액 A4도 포토레지스트의 박리 능력을 나타내지만, 이것은 보다 높은 온도에서 사용될 것을 요구하며, 도데실벤젠술폰산으로 인해 산성을 갖기 때문에 솔더 물질을 공격할 것이다.
듀폰(DuPont) 9015 건조 포토레지스트를 용액 A8, A9 및 A10을 사용하여 시험하였다. 표 13은 이러한 포토레지스트의 박리전 예시적인 처리 공정을 기술한다.
박리전 듀폰 9015 레지스트의 처리
구리 1 oz 구리 기재
구리 예비 세정 표준 조건 - 브러시 스크럽(임의사항 1)
표준 조건 - 마이크로테크(Microtech)(산 세정 및 30 마이크로인치)(임의사항 2)
라미네이션 건조-HRL
속도 - 1.2 m/min(처리 속도)
압력 - 없음
온도 - 105℃
라미네이션 시간 노출/현상 전 30분 동안 패널을 잡고 있음
노출 포토마스크 유형 = PC530 마일라(Mylar)/유리
고-강도 노출
에너지 밀리주울(하기를 참고)
진공 지연 30초
디자인 - 블랭크(blank) 노출
현상 표준 조건 - 55% BP
임의적 소성 온도 80℃
체류 시간 30분
도금전 세정 NA
구리 도금 NA
주석 도금 NA
박리전 듀폰 9015 레지스트의 추가적 처리
패널 구리 처리 노출(밀리주울) 소성
A 브러시 100
B 브러시 100 안 함
C 브러시 150
D 브러시 150 안 함
E 브러시 200
F 브러시 200 안 함
G 마이크로테크 100
H 마이크로테크 100 안 함
I 마이크로테크 150
J 마이크로테크 150 안 함
K 마이크로테크 200
L 마이크로테크 200 안 함
용액 A8, A9 및 A10은 45℃에서 5분 미만 이내에 55℃에서 모든 건조 필름 9015 샘플 패널 A 내지 L을 효과적으로 제거하였다.
솔더 - 범핑된 PCB 솔더 - 범핑된 웨이퍼로부터의 건조-필름 포토레지스트 플럭스의 제거
PCB 및 웨이퍼 시험 샘플을 약 1 제곱인치(1 in2)의 단편이 되게 쪼갰다. 약 300 ㎖의 용액 C1 내지 C8을 600 ㎖ 들이 유리 비이커에 붓고, 온도-조절되는 핫플레이트 상에 놓았다. 샘플을 약 35 내지 60 ℃에서 약 5 내지 30 분 동안 처리하였다.
Figure 112012106095985-pat00009
세정 공정 동안 수동으로 약간의 교반을 수행하였다. 모든 샘플을 CO2-살포되지 않은 탈이온수(DI)의 비이커 및 흐르는 DI수 스트림에서 바로 헹구는데, 이 때 비이커를 비우고 5번 채웠다. 헹군 후, 각 샘플을 가압된 질소 스트림으로써 건조시켰다. 마지막으로, 각 샘플을 광학현미경으로써 검사한 후, 주사전자현미경(SEM)으로써 검사하였다.
건조 필름 및 플럭스 제거 결과
그룹 세정 결과
C1 세정, 포토레지스트 또는 플럭스 없음
C2 세정, 포토레지스트 또는 플럭스 없음
C3 세정, 포토레지스트 또는 플럭스 없음
C4 세정, 포토레지스트 또는 플럭스 없음
C5 세정, 포토레지스트 또는 플럭스 없음
C6 세정, 포토레지스트 또는 플럭스 없음
C7 세정, 포토레지스트 또는 플럭스 없음
C8 세정, 포토레지스트 또는 플럭스 없음
도 4A 및 4B는 각각 45℃에서 5분 동안 용액 C6으로써 포토레지스트를 박리하기 전후의 PCB의 예시적인 SEM 이미지이다. 도 5A 내지 5D, 6A 내지 6D 및 7A 내지 7D는 45℃에서 10 내지 20 분 동안 용액 C1으로써 포토레지스트를 박리하기 전후의 WLP 웨이퍼의 예시적인 SEM 이미지이다. PCB 및 WLP 기재 둘 다에 있어서, 건조 필름 포토레지스트는 용액 C1 내지 C8를 사용한 함침 세정에 의해 쉽게 제거되었다. 건조 필름은, 심지어는 이 샘플에 어떤 교반도 가하지 않을 때에도, 빠르게 팽창하였고, 산산조각이 났고, 비이커 저부로 떨어졌다.
이러한 PCB 및 WLP 박리 공정은 낮은 공정 온도에서 짧은 공정 시간을 제공한다. 이러한 공정은 구리 및 솔더 범프와 상용성이다. 이것은 금속 표면을 거의 또는 전혀 거칠게 만들지 않는다.
솔더 - 범핑된 웨이퍼로부터의 스핀-온( Spin - On ) 포토레지스트 플럭스 제거
200 ㎚ TiW 상 100 ㎚ Cu로 이루어진 종자(seed) 야금속을 실리콘 웨이퍼 기재 상에 침착시켰다. 50 마이크로미터의 JSR THB-151N 포토레지스트(습윤제를 함유하지 않음)를 종자 야금속 상에 방사시켰다. 이어서 코팅된 웨이퍼를 120℃에서 300 초 동안 연질소성시켰다. 레지스트가 노출되었고, 노출되지 않은 레지스트를 2.38% TMAH 현상액으로써 제거하였다. Ni의 박층을 레지스트 패턴 상에 전기도금하고, Sn/Ag로 이루어진 무연 솔더 물질을 전기도금으로써 침착시켜, 도 9D 내지 9E에 도시된 솔더 범프를 형성하였다.
웨이퍼를 약 3 ㎠의 샘플로 쪼개고 흄후드 밑에서 온도-조절되는 비이커에서 처리하였다. 용액 D2 내지 D12에 대한 처리 조건 및 결과가 표 16 및 도 9A 내지 9C 및 도 9F 내지 9G에 요약되어 있다. 포토레지스트는 용액 D8 내지 D12에 의해 완전히 제거되었다.
스핀-온 레지스트 박리 공정 조건 및 결과
박리 용액 T(℃) 시간(분) 헹굼 용액 T(℃) 시간(분) 결과
D2 65 15 없음 RT 5 불완전 박리
D3 65 15 없음 RT 5 불완전 박리
D6 75 30 D13 RT 5 불완전 박리
D8 50 15 없음 RT 5 레지스트가 완전히 제거됨
D9 50 15 없음 RT 5 레지스트가 완전히 제거됨
D10 50 15 없음 RT 5 레지스트가 완전히 제거됨
D11 50 15 없음 RT 5 레지스트가 완전히 제거됨
D12 50 15 없음 RT 5 레지스트가 완전히 제거됨
에칭 후의 잔류물 제거
웨이퍼를 약 1 제곱인치의 샘플로 쪼개고, 샘플을 개별적으로 처리하였다. 용액 E1 내지 E15를, 표 17에 열거된 공정 조건에서 온도-조절되는 핫플레이트 상에서 자석 교반기가 장착된 200㎖ 들이 파이렉스 비이커에서 가열하였다. 각 샘플을 이러한 용액 중 하나에서 처리하였다. 이어서 샘플을 3-사이클 DI수로써 헹구고 질소를 불어 건조시켰다. SEM 이미지를 수득하여, 각 조성물 및 공정의 세정 능력을 평가하였다. 그 결과가 도 8A 내지 8N에 요약되어 있다. 다양한 비율의 콜린 히드록사이드 및 히드록실아민을 갖는 용액 혼합물은 금속을 공격하지 않고서도 에칭 잔류물을 가장 잘 제거하였다. 히드록실아민 용액은 단독으로는 에칭 잔류물을 완전히 제거할 수 없다.
에칭 후의 잔류물 제거
기준물(사진 촬영전) 없음 도 8A 대조물
기준물(사진 촬영전) 없음 도 8B 대조물
E1 35 ℃에서 10분 도 8C 완전히 세정
E4 35 ℃에서 90초 도 8D 구리 배선을 공격하지 않고 세정
E5 35 ℃에서 90초 도 8E 구리 배선을 공격하지 않고 세정
E7 35 ℃에서 90초 도 8F 구리 배선을 공격하지 않고 세정
E9 35 ℃에서 90초 도 8G 구리 배선을 공격하지 않고 세정
E10 35 ℃에서 90초 도 8H 구리 배선을 공격하지 않고 세정
E11 35 ℃에서 10분 도 8I 완전히 세정
E12 35 ℃에서 10분 도 8J 완전히 세정
E12 35 ℃에서 90초 도 8K 구리 배선을 공격하지 않고 세정
E13 35 ℃에서 90초 도 8L 구리 배선을 공격하지 않고 세정
E14 35 ℃에서 10분 도 8M 완전히 세정
E15 35 ℃에서 90초 도 8N 구리 배선을 공격하지 않고 세정
Cu 패턴화 웨이퍼로부터의 미경화 포토레지스트의 제거
15 마이크로미터 두께의 구리 배선을 웨이퍼 상에 패턴화하였다. 30 마이크로미터 두께의 폴리이미드 포토레지스트(에이치디 마이크로시스템(HD Microsystem)의 HD 4010 포토레지스트)를 구리 배선 상에 패턴화하였지만, 포토레지스트를 경화하지는 않았다.
웨이퍼를 약 1 제곱인치의 샘플로 쪼갰다. 샘플을, 온도-조절되는 핫플레이트 상에서 자석 교반기가 장착된 200㎖ 들이 파이렉스 비이커에서 가열되는 용액 중에서 개별적으로 처리하였다. 표 18에는 표 5의 용액 F1 내지 F6에 대한 처리 조건 및 결과가 열거되어 있다. F2에서 처리된 샘플을 이소프로필 알콜(IPA)로써 헹군 후 DI수에서 헹구었다. 나머지 샘플에 대해서는, 용액 중 하나에 함침시킨 후, 샘플을 3-사이클 DI수로써 헹구고 질소를 불어 건조시켰다. 세정 능력을 평가하기 위해서, 샘플을 광학현미경으로써 검사하였다. 이어서 "적당" 내지 "양호"의 세정 효율 및 용해도를 나타내는 샘플에 대해 SEM 검사를 수행하였다. 도 10M 내지 10R을 참고하도록 한다.
미경화 포토레지스트 제거의 처리 조건 및 결과
용액 온도(℃) 시간(분) 세정 성능 잔류물 용해도
F1
70 10 불량
90 30 적당 불량
F2
70 10 매우 불량
90 30 매우 불량
F3
55 10 불량
55 30 양호 매우 불량
F4
55 10 매우 불량
55 30 양호 양호
F5
55 10 불량
55 30 양호 양호
F6
70 10 불량
90 30 적당 적당
* 매우 불량 - 대부분의 폴리이미드가 처리 후에도 점착됨
* 불량 - 몇몇 폴리이미드가 점착되고 몇몇은 제거됨
* 적당 - 소량의 폴리이미드가 여전히 샘플 상의 몇몇 영역 내에 존재함
* 양호 - 샘플 상에 잔여 폴리이미드가 관찰되지 않음
대부분의 효과적인 공정은 용액 F4, 55℃에서 30분, 및 용액 F5, 55℃에서 30분이었다. 이러한 두 용액은 잔류물을 용해시키고 기재를 세정하였다. SEM 이미지를 보아, F4 용액의 경우 처리 동안에 노출된(즉 포토레지스트 내 예비형성된 개구에 의해 노출된) 몇몇 구리 표면이 변하였지만, F5 용액의 경우는 변하지 않음을 알 수 있었다. 따라서, 용액 F5는 가장 바람직한 조성물이었다.
웨이퍼-수준 패키징으로부터의 포토레지스트의 제거
조성물 W1 내지 W30을, 하기 표 19에 명시된 바와 같이, 55℃에서 10분 동안 노출시킨 후, WLP 기재로부터 듀폰 WB3000 건조 필름 포토레지스트의 제거 능력에 대해 시험하였다.
웨이퍼-수준 패키징으로부터의 포토레지스트의 제거
공정 조건: 55℃/10분
조성물 HDA
(100%)
HAS DEHA COH
(100%)
DMSO/기타 pH 소성되지 않고 도금되지 않은 WB3000의 레지스트 스트립
W1 20 11.25 68.75 >12 완전한 제거
W2 10 11.25 78.75 >12 완전한 제거
W3 20 11.25 68.75 <7 제거못함
W4 10 11.25 78.75 <7 제거못함
W5 2.5 11.25 86.25 >12 완전한 제거
W6 0.5 11.25 88.25 >12 완전한 제거
W7 20 11.25 68.75 >12 완전한 제거
W8 10 11.25 78.75 >12 완전한 제거
W9 2.5 11.25 86.25 >12 완전한 제거
W10 0.5 11.25 88.25 >12 완전한 제거
W11 20 1 79 <7 제거못함
W12 10 1 89 <7 제거못함
W13 2.5 1 96.5 <7 제거못함
W14 0.5 1 98.5 <7 제거못함
W15 2.5 4.5 8 85 7<>12 부분제거
W16 2.5 11.25 16.25 70 >12 완전한 제거
W17 2.5 11.25 36.25 50 >12 완전한 제거
W18 2.5 11.25 56.25 30 >12 완전한 제거
W19 2.5 11.25 71.25 15 >12 완전한 제거
W20 10 2.25 77.75 10
소르비톨
7<>12 부분제거
W21 2.5 15 82.5 >12 완전한 제거
W22 2.5 20 77.5 >12 완전한 제거
W23 2.5 25 72.5 >12 완전한 제거
W24 2.5 1 CCI 96.5 <7 제거못함
W25 2.5 10 CCI 87.5 <7 제거못함
W26 2.5 1 CBC 96.5 <7 제거못함
W27 2.5 10 CBC 87.5 >12 완전한 제거
W28 2.5 1 트리스COH 96.5 7<>12 부분제거
W29 2.5 10 트리스 COH 87.5 >12 완전한 제거
W30 20 18 42 20 >12 완전한 제거
W31 2.5 12.25 트리스 COH 12 70 DMSO
3 AEEA
0.25 MDEA
>12
COH = 콜린 히드록사이드
CBC = 콜린 비카르보네이트
CCI = 콜린 클로라이드
트리스 COH = 트리스-콜린 히드록사이드
HDA = 히드록실아민
HAS = 히드록실아민 술페이트
AEEA = N-(2-아미노에틸)에탄올아민
MDEA = 메틸디에탄올아민
7<>12 = 7 내지 12
⊙ 제거못함
◎ 부분제거
○ 완전한 제거
WLP 포토레지스트를 제거하는데에 가장 효과적인 조성물은, 약 12 이상의 pH를 달성하도록 하는 유기 암모늄 화합물의 양과 옥소암모늄 화합물의 양의 균형을 나타내는 것이었다. pH가 약 7 내지 약 12인 조성물은 약 55 ℃의 온도 및 약 10 분의 접촉 시간에서 포토레지스트를 덜 완전하게 제거하였지만, 보다 높은 온도 및/또는 보다 긴 접촉 시간에서는 보다 나은 성능을 가질 수 있다.
구리 부식/에칭 속도의 비교
하기 표 20에 명시된 바와 같이, WLP 기재로부터 듀폰 WB3000 건조 필름 포토레지스트를 제거한 후, 조성물 W20 및 W16에 대해, 이들의 구리 금속에 대한 부식 속도 또는 에칭 속도를 평가하였다.
W20(상부) 대 W16(저부)의 Cu 부식 속도
시간(분) 초기 Cu 두께
(Å)
최종 Cu 두께
(Å)
두께 손실분
(Å)
정규화된 두께 잔여율(%) % 두께 손실률
5 3386.405 3336.827 49.578 98.536 1.46
10 3401.504 3321.873 79.631 97.659 2.34
20 3380.081 3261.127 118.954 96.481 3.52
30 3371.516 3189.327 182.189 94.596 5.40
시간(분) 초기 Cu 두께
(Å)
최종 Cu 두께
(Å)
두께 손실분
(Å)
정규화된 두께 잔여율(%) % 두께 손실률
5 3349.17 3319.02 30.15 99.10 0.90
10 3333.26 3272.00 61.26 98.16 1.84
20 3364.01 3258.61 105.40 96.87 3.13
30 3359.31 3249.55 109.76 96.73 3.27
당 알콜 소르비톨을 포함하는 조성물 W20은, 웨이퍼-수준 패키징 기재와 접촉시, 조성물 W16보다 훨씬 더 높은 구리 부식을 나타내었다. 감소된 구리 부식 속도는 특히 웨이퍼-수준 패키징 작업에서 가장 중요하다. 부식과 같은, 표면과 관련된 결함/문제는 다마신 작업(예를 들면 개별적인 칩 층 구조적 제작) 및 이후의 작업(예를 들면 WLP/PCB) 둘 다에서 문제가 되긴 하지만, 이러한 결함/문제는 후자에서 훨씬 더 중요한데, 왜냐하면 특히 다마신 작업의 초기 층들보다는 WLP/PCB에서 보다 많은 단계들을 수행해야 하기 때문이다.
부식/에칭 속도와 관련하여, 조성물 W20은, 미국특허 제 5,846,695 호(Iwata)에 개시된, 알루미늄 금속 기재층에 대해 비-부식성인 조성물과 유사하다는 것이 흥미로운데, 그러나 W20 및 상기 특허(Iwata)의 조성물과 같은, 당 알콜을 함유하는 조성물은 구리 금속층과 접촉시, 적어도 W16과 같은, 본 발명에 따르는 조성물에 비해, 감소된 부식 방지성을 나타내었다.
전술된 내용은 예시를 목적으로 특정 실시양태를 참고로 기술되었다. 그러나, 전술된 예시적인 논의가 본 발명의 전부는 아니며 본 발명을 개시된 특정 형태에만 국한하려는 것도 아니다. 상기 교시를 참고로 많은 개조양태 및 변경양태가 가능하다. 본 발명의 원칙 및 이것의 실제적 응용을 가장 잘 설명함으로써, 해당 분야의 숙련자로 하여금 의도된 특정 용도에 적합하도록 다양하게 개조된 다양한 실시양태들 및 본 발명을 가장 잘 활용하도록 하기 위해, 실시양태가 선택되고 기술되었다.
IC: 집적회로
WLP: 웨이퍼-수준 패키징
PCB: 인쇄회로기판

Claims (14)

  1. 화학식이
    Figure 112013081820276-pat00071

    [여기서, X는 히드록사이드이고,
    R1은 알킬기이고,
    R2, R3 및 R4는 각각 수소가 아니고, 독립적으로 알킬, 벤질, 히드록시알킬, 페닐임]인 유기 암모늄 화합물,
    화학식이
    Figure 112013081820276-pat00072

    [여기서, 각각의 R5는 독립적으로 수소, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기, 또는 이것의 염이고,
    각각의 R6 및 R7은 독립적으로 수소, 히드록실기, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기, 또는 이것의 염임]인 0.1-10 중량%의 화합물,
    물, 및
    물과 혼화성인 유기 극성 용매로서 디메틸술폭사이드를 포함하며,
    기재로부터 중합체, 에칭 잔류물, 애슁(ashing) 잔류물, 또는 이들의 조합을 제거할 수 있으면서도 기재와 결합된 회로 또는 회로의 일부의 운용성(operability)을 유지할 수 있는, 집적회로 기재, 웨이퍼-수준 패키징(wafer-level packaging) 기재, 인쇄회로기판(회로 또는 회로의 일부가 그 위에 존재함)으로부터 중합체, 에칭 잔류물, 애슁 잔류물, 또는 이들의 조합을 제거하기 위한 조성물.
  2. 제 1 항에 있어서, 유기 암모늄 화합물이 1 내지 30 중량%의 양으로 존재하고, 물이 15 내지 73.9 중량%의 양으로 존재하고, 유기 극성 용매가 25 내지 83.9 중량%의 양으로 존재하는 조성물.
  3. 제 1 항 또는 제 2 항에 있어서, 조성물의 pH가 7 초과인 조성물.
  4. 제 3 항에 있어서, 조성물의 pH가 12 초과인 조성물.
  5. 웨이퍼-수준 패키징 기재, 인쇄회로기판으로부터 중합체, 에칭 잔류물, 애슁 잔류물, 또는 이들의 조합을 제거하면서도 그와 결합된 회로 또는 회로의 일부의 운용성을 유지하도록 35℃ 내지 100℃의 온도, 및 10 초 내지 45 분의 범위의 시간 동안 집적회로 기재, 웨이퍼-수준 패키징 기재, 인쇄회로기판과 제 1 항 또는 제 2 항의 조성물을 접촉시키는 것을 포함하는, 집적회로 기재, 웨이퍼-수준 패키징 기재, 인쇄회로기판(회로 또는 회로의 일부가 그 위에 존재함)으로부터 중합체, 에칭 잔류물, 애슁 잔류물, 또는 이들의 조합을 제거하는 방법.
  6. 제 5 항에 있어서, 온도가 45 ℃ 내지 75 ℃이고, 시간이 5 분 내지 30 분인 방법.
  7. 화학식이
    Figure 112013081820276-pat00073

    [X는 히드록사이드이고,
    R1은 알킬기이고,
    R2, R3 및 R4는 각각 수소가 아니고, 독립적으로 알킬, 벤질, 히드록시알킬, 페닐임]인 유기 암모늄 화합물,
    화학식이
    Figure 112013081820276-pat00074

    [여기서, 각각의 R5는 독립적으로 수소, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기, 또는 이것의 염이고,
    각각의 R6 및 R7은 독립적으로 수소, 히드록실기, 치환된 C1-C6 직쇄형, 분지형 또는 고리형 알킬, 알케닐 또는 알키닐기, 치환된 아실기, 직쇄형 또는 분지형 알콕시기, 아미딜기, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 또는 술폰산기, 페닐기, 치환된 페닐기, 아릴기, 치환된 아릴기, 또는 이것의 염임]인 0.1-10 중량%의 옥소암모늄 화합물,
    물, 및
    물과 혼화성인 유기 극성 용매로서 디메틸술폭사이드를 포함하며,
    중합체의 카르보닐 잔기, 카르복실 잔기, 니트릴 잔기, 이미드 잔기, 또는 이들의 조합과 상호작용함으로써 중합체를 제거할 수 있으면서도 그와 인접한 회로 또는 회로의 일부의 운용성을 유지할 수 있는, 카르보닐 잔기, 카르복실 잔기, 니트릴 잔기, 이미드 잔기, 또는 이들의 조합을 포함하는 중합체로서 배치된 회로 또는 회로의 일부와 인접한 중합체를 제거하기 위한 조성물.
  8. 중합체의 카르보닐 잔기, 카르복실 잔기, 니트릴 잔기, 이미드 잔기, 또는 이들의 조합과 상호작용함으로써 중합체를 제거하면서도 그와 인접한 회로 또는 회로의 일부의 운용성을 유지하도록 35℃ 내지 100℃의 온도, 및 10초 내지 45분의 범위의 시간 동안 중합체와 제 7 항의 조성물을 접촉시키는 것을 포함하는, 카르보닐 잔기, 카르복실 잔기, 니트릴 잔기, 이미드 잔기, 또는 이들의 조합을 포함하는 중합체로서 배치된 회로 또는 회로의 일부와 인접한 중합체를 제거하는 방법.
  9. 제 8 항에 있어서, 중합체가 원자외선에 대해 투명한 포토레지스트인 방법.
  10. 제 9 항에 있어서, 포토레지스트가 193 ㎚ 포토레지스트인 방법.
  11. 제 8 항 또는 제 9 항에 있어서, 중합체가 아크릴레이트 반복 단위, 메타크릴레이트 반복 단위, 또는 이들 둘 다를 포함하는 것인 방법.
  12. 제 8 항 또는 제 9 항에 있어서, 상기 중합체의 적어도 일부가 제거 전에 개질되고, 상기 개질 방법이 화학적 증폭, 화학적 에칭, 원자외선 처리, 이온 주입, 플라스마 처리, 감마- 또는 x-선 조사, 전자빔 처리, 레이저 삭마, 또는 이들의 조합을 포함하는 것인 방법.
  13. 제 2 항에 있어서, 테트라메틸암모늄 히드록사이드(TMAH)가 6.25 중량%의 양으로 존재하고, 물이 21.25 중량%의 양으로 존재하고, 디메틸술폭사이드(DMSO)가 70 중량%의 양으로 존재하고, 히드록실아민(HDA)이 2.50 중량%의 양으로 존재하는 조성물.
  14. 제 2 항에 있어서, 콜린 히드록사이드가 11.5 중량%의 양으로 존재하고, 물이 16.00 중량%의 양으로 존재하고, 디메틸술폭사이드(DMSO)가 70 중량%의 양으로 존재하고, 히드록실아민(HDA)이 2.5 중량%의 양으로 존재하는 조성물.
KR1020127033331A 2004-10-29 2005-10-28 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법 KR101435736B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US62319004P 2004-10-29 2004-10-29
US60/623,190 2004-10-29
PCT/US2005/039381 WO2006050323A1 (en) 2004-10-29 2005-10-28 Compositions and processes for photoresist stripping and residue removal in wafer level packaging

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077011970A Division KR20070072622A (ko) 2004-10-29 2005-10-28 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의제거를 위한 조성물 및 방법

Publications (2)

Publication Number Publication Date
KR20130014610A KR20130014610A (ko) 2013-02-07
KR101435736B1 true KR101435736B1 (ko) 2014-08-28

Family

ID=35735160

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127033331A KR101435736B1 (ko) 2004-10-29 2005-10-28 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법
KR1020077011970A KR20070072622A (ko) 2004-10-29 2005-10-28 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의제거를 위한 조성물 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077011970A KR20070072622A (ko) 2004-10-29 2005-10-28 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의제거를 위한 조성물 및 방법

Country Status (8)

Country Link
US (1) US20060094613A1 (ko)
EP (1) EP1810323B1 (ko)
JP (2) JP5456973B2 (ko)
KR (2) KR101435736B1 (ko)
CN (1) CN101116178B (ko)
DE (1) DE602005018248D1 (ko)
TW (1) TWI469220B (ko)
WO (1) WO2006050323A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3994992B2 (ja) * 2004-08-13 2007-10-24 三菱瓦斯化学株式会社 シリコン微細加工に用いる異方性エッチング剤組成物及びエッチング方法
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
KR101101104B1 (ko) * 2006-08-24 2012-01-03 다이킨 고교 가부시키가이샤 반도체 드라이 프로세스 후의 잔사 제거액 및 그것을 이용한 잔사 제거 방법
US8586269B2 (en) * 2007-03-22 2013-11-19 Globalfoundries Inc. Method for forming a high resolution resist pattern on a semiconductor wafer
CN101827928B (zh) * 2007-08-08 2012-10-03 荒川化学工业株式会社 用于除去无铅助焊剂的清洁剂组合物以及用于除去无铅助焊剂的方法
KR101488265B1 (ko) * 2007-09-28 2015-02-02 삼성디스플레이 주식회사 박리 조성물 및 박리 방법
US8357646B2 (en) 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
US20120101009A1 (en) * 2008-12-18 2012-04-26 Invista North America S.A.R.L. Cyclohexane oxidation process byproduct stream derivatives and methods for using the same
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
TWI405317B (zh) * 2010-03-04 2013-08-11 Unimicron Technology Corp 封裝基板及其製法
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
CN101838111B (zh) * 2010-05-20 2012-06-27 合肥茂丰电子科技有限公司 玻璃基板蚀刻液及其制备方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
CN105190846A (zh) * 2013-04-10 2015-12-23 和光纯药工业株式会社 金属配线用基板清洗剂和半导体基板的清洗方法
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
MY177101A (en) * 2013-08-31 2020-09-07 Hoya Corp Method for manufacturing glass substrate for magnetic disk, and method for manufacturing magnetic disk
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
JP5977727B2 (ja) * 2013-11-13 2016-08-24 東京エレクトロン株式会社 基板洗浄方法、基板洗浄システムおよび記憶媒体
EP3077129B1 (en) 2013-12-06 2020-11-11 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
US9401336B2 (en) 2014-11-04 2016-07-26 International Business Machines Corporation Dual layer stack for contact formation
EP3331978A4 (en) * 2015-08-03 2018-07-11 Fujifilm Electronic Materials USA, Inc. Cleaning composition
KR20170072701A (ko) * 2015-12-17 2017-06-27 동우 화인켐 주식회사 컬러 레지스트 박리액 조성물
KR101966674B1 (ko) * 2016-01-05 2019-04-09 후지필름 가부시키가이샤 처리액, 기판의 세정 방법, 및 반도체 디바이스의 제조 방법
US10073352B2 (en) * 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
TWI745420B (zh) * 2017-08-25 2021-11-11 聯華電子股份有限公司 半導體結構
WO2019109329A1 (en) * 2017-12-08 2019-06-13 Henkel Ag & Co. Kgaa Photoresist stripper compostion
US11353794B2 (en) * 2017-12-22 2022-06-07 Versum Materials Us, Llc Photoresist stripper
JP6862027B2 (ja) * 2018-01-08 2021-04-21 エムティーアイ カンパニー,リミテッドMti Co.,Ltd. ウェハ加工用保護コーティング剤組成物、及びそれを含む保護コーティング剤
KR20200138742A (ko) 2018-03-28 2020-12-10 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 세정 조성물
US11460778B2 (en) * 2018-04-12 2022-10-04 Versum Materials Us, Llc Photoresist stripper
US10636696B1 (en) 2019-01-18 2020-04-28 Applied Materials, Inc. Methods for forming vias in polymer layers
JP2020155721A (ja) * 2019-03-22 2020-09-24 株式会社Screenホールディングス 基板処理方法
KR20220058069A (ko) * 2020-10-30 2022-05-09 주식회사 이엔에프테크놀로지 세정제 조성물 및 이를 이용한 세정방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970007328B1 (ko) * 1992-07-09 1997-05-07 이이케이시이 테크놀로지 인코포레이팃드 내식막 및 부식 잔여물 제거 조성물 및 제거 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US7205265B2 (en) * 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
JP3614242B2 (ja) * 1996-04-12 2005-01-26 三菱瓦斯化学株式会社 フォトレジスト剥離剤及び半導体集積回路の製造方法
US6245155B1 (en) * 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
KR100520181B1 (ko) * 1999-10-11 2005-10-10 주식회사 하이닉스반도체 신규한 포토레지스트 단량체, 그의 중합체 및 이를 함유하는 포토레지스트 조성물
US7543592B2 (en) * 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP4267359B2 (ja) * 2002-04-26 2009-05-27 花王株式会社 レジスト用剥離剤組成物
KR100539225B1 (ko) * 2002-06-20 2005-12-27 삼성전자주식회사 히드록시기로 치환된 베이스 폴리머와 에폭시 링을포함하는 실리콘 함유 가교제로 이루어지는 네가티브형레지스트 조성물 및 이를 이용한 반도체 소자의 패턴 형성방법
JP2004302271A (ja) * 2003-03-31 2004-10-28 Nippon Zeon Co Ltd レジスト用剥離液及び剥離方法
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970007328B1 (ko) * 1992-07-09 1997-05-07 이이케이시이 테크놀로지 인코포레이팃드 내식막 및 부식 잔여물 제거 조성물 및 제거 방법

Also Published As

Publication number Publication date
JP2008519295A (ja) 2008-06-05
WO2006050323A1 (en) 2006-05-11
EP1810323A1 (en) 2007-07-25
KR20130014610A (ko) 2013-02-07
CN101116178B (zh) 2011-06-15
EP1810323B1 (en) 2009-12-09
CN101116178A (zh) 2008-01-30
JP2012142588A (ja) 2012-07-26
TWI469220B (zh) 2015-01-11
TW200641561A (en) 2006-12-01
KR20070072622A (ko) 2007-07-04
US20060094613A1 (en) 2006-05-04
JP5540031B2 (ja) 2014-07-02
DE602005018248D1 (de) 2010-01-21
JP5456973B2 (ja) 2014-04-02

Similar Documents

Publication Publication Date Title
KR101435736B1 (ko) 웨이퍼-수준 패키징에서 포토레지스트의 박리 및 잔류물의 제거를 위한 조성물 및 방법
US7543592B2 (en) Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7579308B2 (en) Compositions and processes for photoresist stripping and residue removal in wafer level packaging
EP1470207B1 (en) Aqueous stripping and cleaning composition
KR100781925B1 (ko) 포토레지스트 박리 방법
KR20070003772A (ko) 석영 코팅된 폴리실리콘 및 기타 물질을 세정하기 위한비스-콜린 및 트리스-콜린의 사용법
US20090111726A1 (en) Compounds for Photoresist Stripping
US20060110690A1 (en) Treating liquid for photoresist removal, and method for treating substrate
JP2002523546A (ja) 非腐食性のストリッピングおよびクリーニング組成物
WO2007027522A2 (en) Composition and method for removing thick film photoresist
EP1407326A1 (en) Sulfoxide pyrrolid(in)one alkanolamine stripping and cleaning composition
JP2001523356A (ja) レジスト剥離および洗浄用の非腐食性組成物
JP3514435B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
KR101420571B1 (ko) 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
WO2015119759A1 (en) Composition for removing substances from substrates
KR100862988B1 (ko) 포토레지스트 리무버 조성물
JP2007132996A (ja) 洗浄除去剤
KR20090073848A (ko) 반도체 소자용 세정액 조성물 및 이를 이용한 반도체소자의 세정 방법
KR20180042797A (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180730

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 6